“等待上升沿(clk)”与“如果上升沿(clk)”有什么区别?

2023-12-29

我在 VHDL 中遇到了两种风格的过程语句。

process(clk)
begin
    if rising_edge(clk)
...do something...

另一种是

process
begin
    wait until rising_edge(clk)
    ...do something...

每种方法的优点和缺点是什么?


假设...第二个示例的部分没有任何wait声明,这两种形式在语义上是等效的。

它们在模拟中的行为相同。

然而,第一种形式是推荐的综合风格,并且被许多人认为更具可读性。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

“等待上升沿(clk)”与“如果上升沿(clk)”有什么区别? 的相关文章

  • 子状态机

    我有一个有 5 个州的 FSM 其中3个是通过子FSM UML模式 设计的 对于 VHDL 中的实现 恕我直言 有两种方法可以做到这一点 将它们总结为一个 这样我就有了一份包含子 FSM 的文档和一个包含一个大 FSM 的产品 与所有州建立
  • 在 vhdl 中计算(并验证)以太网 FCS (crc32)

    我正在使用Spartan 3E 入门套件我正在尝试通过 100MBit 链路接收以太网帧 对于那些不知道的人 该板具有 PHY 芯片 暴露了 25MHz 的接收时钟 我 几乎 已经通过缓冲接收到的帧并通过串行链路重新发送它们来验证接收工作正
  • VHDL:IEEE std_logic 库中的真值表

    我研究了 IEEE 如何定义其库 当我打开的时候标准逻辑库 我看到了一些被定义为常数的真值表 我不知道真值表是如何运作的 请解释如何使用真值表返回结果 这是我找到的 AND 门 TYPE stdlogic table IS ARRAY st
  • VHDL 中的行为模型程序和数据流模型程序之间的混淆

    我正在使用 Douglas L Perry 所著的教科书 VHDL 示例编程 第四版 他在第 4 页给出了 Dataflow 编程模型的示例 Code I ENTITY mux IS PORT a b c d IN BIT s0 s1 IN
  • 错误(10028):无法解析网络的多个常量驱动程序... VHDL 错误

    我正在尝试编写一个代码来检测 din 信号的上升沿 并在发生后将 doout 提高 5 个时钟周期 我在编译时不断收到不同的错误 但我不确定它们的含义 我认为我对 VHDL 中的一些概念缺乏基本的了解 但遗憾的是在网上查找并没有给我带来太大
  • Lex VHDL '(勾号)令牌

    在 VHDL 中 字符可用于封装字符标记ie 或者它可以作为属性分隔符 类似于 CPP 的 token ie string hello 解析包含字符的属性名称时出现问题ie string a b c 在这种情况下 天真的词法分析器将错误地标
  • 使用双寄存器方法解决亚稳态问题

    为了解决Verilog中不同时钟域引起的亚稳态 采用双寄存器方法 但据我所知 亚稳态的最终输出尚未确定 输出独立于输入 那么 我的问题是如何保证使用双寄存器方法输出的正确性 Thanks 您不能完全确定您避免了亚稳态 正如您所提到的 亚稳态
  • Quartus初始化RAM

    我制作了一个实体 其中 quartus 成功识别 RAM 并为其实例化 RAM 宏功能 如果我可以从文件初始化 RAM 那就太好了 我找到了制作此类文件 mif 文件 的教程 现在我已经创建了该文件 我不知道如何让 quartus 初始化该
  • 是否可以使用循环创建同一组件的多个实例?

    我有一个组件 Component CAU is port CLK in std logic RESET in std logic START in std logic V DIRECTION in vector 3d P ORIGIN in
  • 如何在 VHDL 中将整数作为十六进制写入标准输出?

    我可以打印一个integer作为十进制到标准输出 library std use std textio all entity min is end min architecture behav of min is begin process
  • 将 n 位的 std_logic_vector 向右或向左移位

    我有一个向量signal tmp std logic vector 15 downto 0 我必须将它向左或向右移动 n 位 我怎样才能实现这个操作 我想到了串联操作 但我不知道如何使用它 Use the ieee numeric std库
  • 将 *.vhdl 编译到库中时出现编译器错误 - Altera Quartus II

    我已经从以下位置下载了浮点包http www vhdl org fphdl http www vhdl org fphdl 并做了以下事情 我在项目中包含了 math utility pkg vhd fixed pkg c vhd 和 fl
  • VHDL 中的 NULL 语句

    其实际目的是什么nullVHDL 中的声明 考虑以下代码 1 CASE s IS BEGIN WHEN 0 gt y lt 0 WHEN 1 gt NULL END CASE 2 CASE s IS BEGIN WHEN 0 gt y lt
  • 在 VHDL 中使用 SB_RGBA_DRV 原语

    我在使用为 Lattice ICE40UP fpga 提供的 SB RGBA DRV 原语时遇到问题 技术库提供了一个 verilog 示例 我可以使用它 但是当我尝试在 VHDL 中使用它时 P R 失败 输出以下消息 错误 非法连接 S
  • vhdl代码(for循环)

    描述 我想编写 vhdl 代码来查找数组 A 中的最大整数 该数组是一个由 20 个整数组成的数组 问题 我的算法应该是什么样子 以输入顺序语句的位置 我的VHDL代码 highnum for i in 0 to 19 loop i 0 i
  • VHDL (Xilinx) 中的错误:无法链接设计

    为什么我在 VHDL 中遇到错误 另外 有时 无法执行流程 因为之前的流程失败了 非常感谢 永久解决方案1 在win 10上 找出 installation directory Xilinx 14 x ISE DS ISE gnu MinG
  • 在单周期数据路径中加载半字和加载字节

    有人询问如何在单周期数据路径中实现加载字节而无需更改数据存储器 解决方案如下 替代文本 http img214 imageshack us img214 7107 99897101 jpg http img214 imageshack us
  • FPGA 系统中的同步与异步复位

    我刚开始使用各种不同的模块创建 FPGA 系统来驱动 I2C 总线 尽管我认为这个问题适用于任何 FPGA 系统 并且所有模块都使用同步复位 这些模块使用时钟分频器模块进行计时 该模块获取系统时钟并向系统的其余部分输出较低的频率 我遇到的问
  • VHDL FSM多驱动网Q连接到常量驱动程序,其他驱动程序被忽略,我的代码有什么问题?

    这段代码是一个 FSM 它是一个摩尔机 艾莉莎 P 哈克 Alyssa P Hacker 有一只蜗牛 沿着纸带爬下去 上面有 1 和 0 蜗牛 每当最后两个时都会微笑 它爬过的数字是 01 设计摩尔和米利 蜗牛大脑的 FSM 代码如下所示
  • 如何构建 if 语句并与各种值进行比较?

    我该怎么写这个if以更好的方式声明条件 if data in 8 downto 1 x 70 or data in 8 downto 1 x 69 or data in 8 downto 1 x 72 or data in 8 downto

随机推荐

  • 迁移到 AndroidX 后,启动时出现异常:java.lang.ClassNotFoundException:“未找到类 androidx.core.app.CoreComponentFactory”

    迁移到 AndroidX 后 我在启动时出现以下异常 LoadedApk Unable to instantiate appComponentFactory java lang ClassNotFoundException Didn t f
  • 如何修复 Flutter 中水平列表视图中的裁剪阴影

    当我在 ListView 内创建一个带有框阴影的容器 水平滚动 时 阴影看起来很好 但是 当我在 ListView 内添加多个容器时 它们的阴影 只是阴影 而不是容器 在顶部和底部被裁剪 另请注意 整个 ListView 包装在父容器下 我
  • 如何使用 Next.js 13 的 objectFit?

    In the 下一个 图像文档 https nextjs org docs api reference next image在版本历史记录下 v13 0 0 的内容如下 删除了布局 objectFit objectPosition lazy
  • 允许匿名用户仅访问登录和注册页面

    我试图只让两个页面 login aspx 和 register aspx 对匿名用户可见 我已经能够让匿名用户仅访问 login aspx 而不访问更多 但我无法进行注册 aspx 也可用 有什么提示吗 到目前为止 这是我的 web con
  • 准确判断PHP运行的操作系统类型

    我需要确定 PHP 服务器运行的操作系统类型 就类型而言 我指的是 windows 或 linux 等字符串 而不是 wince winnt 等 到目前为止 我必须引导 PHP OS 和 uname 后者比前者更可靠 PHP OS 说明 P
  • CodeIgniter Composer“package.json”位置应该位于应用程序或根文件夹中?

    我使用 code igniter v3 x 我想添加一些作曲家包 看着application config config php文件 它说将在应用程序文件夹中加载包 package auto loader script in applica
  • 解析。保持 LocalDataStore 数据同步

    我首先在线查询数据 没有 query fromLocalDatastore 并 固定 它以供本地使用 为了节省带宽 我使用 UpdatedAt 来仅获取新内容 之后 我使用 query fromLocalDatastore 进行查询 获取数
  • XSD 中的 xs:choice 是否允许在结果 XML 中包含多个元素?

    我对 XSD 的 选择 元素描述感到困惑 它指出 在该标记内找到的元素中 只能在有效的 XML 中找到一个元素 允许且仅允许所选元素中包含一个元素 基团存在于包含元素内 http msdn microsoft com en us libra
  • 如何在 IOS Audio Unit Framework 中设置 pan

    堆栈溢出的用户您好 我想在我的 IOS 应用程序中使用 UISlided 更改平移位置 我正在升级当前正在使用的整个应用程序音频流媒体 of 马特 加拉格尔 要更改 AudioStreamer 中的平移值 请使用以下代码 AudioQueu
  • 如何在工作线程(非 UI 线程)中创建模态对话框?

    我编写了一个示例 MFC 应用程序 其中有两个线程 主线程 UI线程 工作线程 非UI线程 我有一个特定的要求来创建一个Modal非 UI 工作线程 中的对话框 当我创建CDialog对象和调用DoModal同样 它有效 该对话框被创建并充
  • 联合类型允许对所使用类型的属性进行错误分配

    我在理解 TS 中的联合时遇到问题 为什么下面的分配是有效的 我以为它只适用于const a a 12 or a 123 b 23 or a 12 b 12 c 123 type abcd a number a number b numbe
  • 如何取消吐司

    我开发了一个 Android 应用程序 但我遇到了一个问题Toast 假设我正在显示一个Toast 它显示在应用程序窗口上 当出现对话框时 toast 不会立即消失 我想知道如何取消吐司 Toast makeText返回一个Toast目的
  • Google 云端硬盘文件夹 ID

    我有一个文件夹路径 例如 docs word 我想获取 word 文件夹 最后一个文件夹 的ID 以便在那里上传文件 我如何获取身份证 所以我想通了 你要做的就是获取 root 的 iddrive service about get exe
  • 使用 JSFiddle 时从 javascript 控制台调用 jQuery

    在我的调试和创建过程中 通常会从 javascript 控制台 firefox 或 chrome 内置的 firebug 触发一些 jQuery 命令 仅用于检查和测试目的 我注意到我无法使用 jQuery 调用与 JSFiddle 上页面
  • SSRS 按参数分组

    如果我有一个报告参数 Fruit 允许多项选择 如何使用该参数作为 SSRS 矩阵中的列组 通常 我会在查询中使用如下参数 WHERE tbl fruit In Fruit 然后我将使用数据集中的列作为我的组 但是 在这种情况下 我需要返回
  • Visual Studio Team Explorer 列出了我未进行的文件更改

    例如 我刚刚启动 Visual Studio 来查找我从未打开过的文件的 28 个更改 当我尝试撤消更改 右键单击并选择撤消 时 我会看到一个确认对话框 我单击 是 然后什么也没有发生 我的一位同事说 这种情况有时会发生在他身上 除了重新开
  • Silverlight 5 VS 2012 单元测试

    在过去的几个小时里 我一直在尝试为 Silverlight 应用程序生成单元测试 许多帖子都提到了 Silverlight 单元测试项目 它是 Silverlight 工具包的一部分 但是我下载了工具包还是没有Test Project 好像
  • True Type 字体格式:笔位置、提前宽度和字距调整

    我正在做一些字体工作 目前使用 TTF True Type Font 文件格式 我知道该字形有一个笔尖位置和一个advance width参数指定在绘制下一个字形之前我们应该向右移动的距离 假设这里的字体是从左到右并且是水平的 我的问题 在
  • 在 iis 中托管 asp.net 网站的分步过程?

    有人可以给我在 iis 中托管 asp net 网站的分步程序吗 确保框架已安装 将您的站点放在系统上的某个位置 并创建一个指向它的虚拟目录 确保它是一个应用程序 检查 ASP net 选项卡以确保选择您正在使用的版本 完成 禁止您需要的任
  • “等待上升沿(clk)”与“如果上升沿(clk)”有什么区别?

    我在 VHDL 中遇到了两种风格的过程语句 process clk begin if rising edge clk do something 另一种是 process begin wait until rising edge clk do