将 *.vhdl 编译到库中时出现编译器错误 - Altera Quartus II

2024-03-15

我已经从以下位置下载了浮点包http://www.vhdl.org/fphdl/ http://www.vhdl.org/fphdl/并做了以下事情:

  1. 我在项目中包含了 math_utility_pkg.vhd、fixed_pkg_c.vhd 和 float_pkg_c.vhd 文件
  2. 我将它们分配给一个库(按照中的说明进行操作)使用 Altera Quartus II 将 *.vhdl 编译为库 https://stackoverflow.com/questions/19580207/compiling-vhdl-into-a-library-using-altera-quartus-ii)
  3. 尝试编译它们,首先将我的项目的顶级实体设置为顶级实体,第二次将 float_pkg_c.vhd 设置为顶级实体

我遇到了几个问题:

  1. 我不确定是否使用 ieee_proposed 库名称或 floatfixlib,因为文档中建议使用前者,而后者是在包文件中使用的
  2. 编译时,我不断从包文件中收到错误(例如“无法详细调用子程序“剩余””等)
  3. 包文件具有后缀 _c 的事实似乎存在一些争议,但应该在没有后缀的情况下包含它(例如使用 FLOATFIXLIB.float_pkg.all;),但目前我无法得到该错误
  4. 最后,当 float_pkg_c.vhd 设置为顶层实体时,编译器会生成此错误:“顶层设计实体“float_pkg_c”未定义”

我不断尝试上述尝试的变体,但没有取得任何进展。


您可能希望重新下载软件包。我没有看到 math_utility_pkg,也没有看到包中引用的库 floatfixlib。您必须拥有非常旧的版本。

我刚刚重新下载了 Altera 发行版。在其中,我看到您交叉列出的帖子中引用的软件包:fixed_float_types_c.vhdl、fixed_pkg_c.vhdl 和 float_pkg_c.vhdl。我还注意到 zip 文件中的文件日期戳是:8/27/2009。

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

将 *.vhdl 编译到库中时出现编译器错误 - Altera Quartus II 的相关文章

随机推荐