当尝试在 EDA Playground 中显示时钟波形时,出现错误
执行中断或达到最大运行时间。
如何显示波形?
EDA Playground 上的代码:
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
end
always
begin
#1 clk<=~clk;
end
endmodule
没有$finish
所以当SIM卡无限期运行并被服务器杀死时。添加 #100 $finish;您的主要测试程序将为您提供 50 个时钟示例电子设计自动化游乐场.
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
#100 $finish; //<-- End simulation
end
always
begin
#1 clk<=~clk;
end
endmodule
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)