硬件系统工程师宝典(30)-----降压式Buck电路分析

2023-11-19

各位同学大家好,欢迎继续做客电子工程学习圈,今天我们继续来讲这本书,硬件系统工程师宝典。上篇我们说到DC/DC变换中的开关调节模式有功耗小、效率高并且稳压范围宽的特点以及DC/DC的指标参数和设计要求。今天我们来分析一下DC/DC中的一个典型电路降压式Buck电路。

Buck电路概述

Buck电路又称为串联开关稳压电路或降压斩波电路,Buck降压电路的原理图如下图(a)所示,为提高开关速度一般采用FET。Buck电路有两种基本工作模式,即电感电流连续模式CCM(Continuous Current Mode)和电感电流断续模式DCM(Discontinuous Current Mode)。电感电流连续是指输出滤波电感电流总是大于零,电感电流断续是指在开关管关断期间有一段时间电感电流为零,这两种状态之间的临界状态即开关管关断末期电感电流刚好为零。下图(b)、(c)为电感电流连续时的两种开关状态,(d)为电感电流断续时,Buck变换器的开关状态。

​Buck电路原理图及不同开关状态下的等效电路图

Buck电路工作状态分析

下图是Buck电路中电感电流连续时各工作状态的波形图

​电感电流连续时的各工作状态

开关状态1:Q导通,0≤t≤tont=0时刻,Q管导通,二极管截止,此时电感上电压为

导通过程的电流变化:

​开关状态2:Q关断,ton≤t≤Tt=ton时刻,Q关断,储能电感中的电流不能突变,于是电感L两端产生了与原来电压极性相反的自感电动势,此时二极管导通续流,这时电感上的电压为

​关断过程电流变化:

​那么,只有Q管导通时电感L增加的电流等于Q管截止期间减少的电流,才能保证储能电感L中一直有能量,就有:

​考虑开启时间和关闭时间的占空比关系可得:

因此,Buck电路的输出电压平均值与占空比δ成正比,输出电压从0到Vd,且输出电压最大值不超过输入电压。因为ic=iL-io,所以当iL>Io时,C充电,输出电压Vo升高,当iL<Io时,C放电,输出电压Vo下降,假设负载电流io脉动很小,那么电感的脉动电流就是电容C的充放电电流。由公式推导可知纹波电压可表示成:

​根据上式可知要降低纹波电压,除了和输入输出电压有关外,增大储能电感L和滤波电容C可以起到显著效果,提高半导体器件的工作频率f也可以收到同样效果。电感电流断续工作方式如下图,即储能电感L的电感量小于临界电感时,电感L中储藏的能量释放太快导致电感中的电流发生断续现象。

​电感电流断续时的工作波形

如上图所示,电感电流断续时工作波形有三种工作状态:

1.Q导通,电感电流iL从零增长到ILmax

2.Q关断,二极管CD续流,iL降到零

3.Q和VD均截止,iL一直为零,负载电流由输出滤波电容供电

Buck变换器的设计步骤

1.选择续流二极管VD,要用快恢复二极管,要注意额定工作电流和反向耐压,并留一定余量。

2.选择开关管工作频率,最好工作频率大于20kHz,以避开音频噪声。工作频率的提高可以减小L、C,但开关损耗增大,效率减小。

3.开关管可选MOSFET、IGBT和GTR。

4.占空比选择,保证输出电压稳定,一般选0.7左右。

5.确定临界电感,根据下式计算临界电感,一般选取临界电感的10倍。

​6.确定电容,考虑耐压超过额定电压,保证能够传送所需的瞬态电流值,电流有效值为

​7.根据纹波要求确定电容容量。8.确定导线满足电流有效值(RMS)要求,电感的电流有效值计算如下:

9.由电流有效值确定导线截面积,由工作频率确定穿透深度,然后确定线径和导线根数。圆铜导线穿透深度为:


另外,整理了一些电子工程类的资料,分享给大家,目前有模拟电路、单片机、C语言、PCB设计、电源相关、FPGA、EMC、物联网、Linux相关学习资料,还有针对大学生的资料包,后续还会有更多资料分享给大家,助力大家学习,成就梦想~

关注同名公众号领取资料~

链接也可在往期文章中找(在文章的最后有链接)~

模拟电路设计的九个级别,你是模电几段?

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

硬件系统工程师宝典(30)-----降压式Buck电路分析 的相关文章

  • 光耦基础知识

    1 光耦 光耦合器 optical coupler 英文缩写为OC 亦称光电隔离器或光电耦合器 简称光耦 在电源当中 光耦和TL431一般都是一起出现的 它们组合一起的作用是用来控制初次级反馈环路的稳定 从而实现输出电压的稳定 有插件的 也
  • 深入PCI与PCIe之一:硬件篇

    PCI总线和设备树是X86硬件体系内很重要的组成部分 几乎所有的外围硬件都以这样或那样的形式连接到PCI设备树上 虽然Intel为了方便各种IP的接入而提出IOSF总线 但是其主体接口 primary interface 还依然是PCIe形
  • 数字万用表的使用

    参考 连3岁小孩子都能看懂的万用表使用方法 地址 https www bilibili com video BV1Gx411z7x2 p 1 vd source cc0e43b449de7e8663ca1f89dd5fea7d 目录 万用表
  • Altium Designer20快捷键整理合集

    花了点时间整理了一下平常经常用到的一些AD20的快捷键操作 自用可取 经过验证均可用 原理图 PCB通用快捷键 保存 CTRL S 打开 CTRL O 关闭 CTRL F4 打印 CTRL P 退出 ALT F4 项目打包 C P 文档切换
  • 关键元器件选型设计指引--通用逻辑器件(逻辑IC)

    1 物料分类 标准逻辑器件 标准数字逻辑IC集成电路可以从工艺 功能和电平三个方面划分 列表所示 注 常见的逻辑电路有54军用系列和74商用系列 两者电路功能一致 本文仅讨论74系列 按照制造工艺特点分类 工艺 逻辑器件产品族 优点 不足
  • 模块电路选型(7)----人机交互模块

    系列文章目录 1 电源模块 2 主控模块 3 传感器模块 4 通信模块 5 电机驱动模块 6 存储模块 7 人机交互模块 文章目录 前言 一 按键 1 触摸按键 前言 送给大学毕业后找不到奋斗方向的你 每周不定时更新 牛客网 构建从学习到职
  • Allegro输出带等长规则的Excel操作指导

    Allegro输出带等长规则的Excel操作指导 Allegro可以输出带等长规则的Excel文件 方便检查和查阅 具体操作如下 打开规则管理器 选择Relative Propagation Delay 选择需要输出的match group
  • 硬件设计---了解电源篇

    1 概述 在高速电路设计中一块单板上常存在多种电源 3 3V 1 8V 1 2V 1 0V 0 9V 0 75V等 有时光是对FPGA供电就需要五六种电源 为了便于使用往往用户只需要提供一种或几种电源 然后经过板上电源模块转换到各个目标电源
  • 霍尔传感器测电机的转速

    霍尔传感器可以用于测量电机的转速 测量原理是通过检测电机旋转时产生的磁场变化来计算转速 具体的测量方法如下 1 在电机旋转的轴上安装一个磁铁 磁铁的北极和南极在轴上相隔一定距离 2 在电机旋转轴的一侧安装一个霍尔传感器 传感器的感应面与磁铁
  • 模拟电路设计(33)---电源变换器简介

    概述 电子设备都需要供电 其电能来源于火力 水力 核子发电厂提供的交流电 这些交流电通过电源设备变换为直流电 但是 这种直流电源不符合需要 仍需变换 这称为DC DC变换 常规的变换器是串联线性稳压电源 其调整元件工作于线性放大区 通过的电
  • 立创EDA专业版(网页,全在线模式)开源导入立创EDA专业版(PC端,半离线模式)

    我个人从一开始就使用立创EDA专业版的半离线模式 是因为既可以离线画板 又可以在在线的时候使用系统库 但难免完美 就不如将立创EDA专业版 网页 全在线模式 导入立创EDA专业版 PC端 半离线模式 时就很麻烦 下面来说下怎么操作 在立创E
  • 跟大师一起学习环路补偿,图文并茂

    作为工程师 每天接触的是电源的设计工程师 发现不管是电源的老手 高手 新手 几乎对控制环路的设计一筹莫展 基本上靠实验 靠实验当然是可以的 但出问题时往往无从下手 在这里我想以反激电源为例子 在所有拓扑中环路是最难的 由于RHZ 的存在 大
  • AD20/Altium designer——如何对PCB添加图片丝印、自定义LOGO、专属图案

    本篇介绍如何在PCB添加图案丝印并调节大小 主要步骤 图片转单色图 bmp格式 复制粘贴进word文档 再次复制到AD 1 选择图片用电脑自带的画图软件打开 2 将图片另存为 bmp格式 3 查看图片效果是否理想 图片是否需要取反色 选做
  • IC数字后端

    在 innovus 里面 有时候我们需要控制 tie cell 的 fanout 和 net length 来避免 tie cell 可能出现 max transition 或者 max fanout 的违例 一般来说 只要 fanout
  • 【Xilinx Vivado时序分析/约束系列4】FPGA开发时序分析/约束-实验工程上手实操

    目录 建立工程 添加顶层 模块1 模块2 添加约束文件 编辑时钟约束 打开布线设计 代码代表的含义 时序报告 进行时序分析 Summary 包含了汇总的信息量 Source Clock Path 这部分是表示Tclk1的延时细节 Data
  • 学习区分dB、dBm、dBuV、dBi

    dB 对于分贝的概念 很多朋友最早接触这个概念 是用 分贝 评估声音的大小 声音的大小用分贝 dB 表示 是一种对数单位 用来描述声音的强度或功率比例 如果P是我们需要测试的声压级或声功率级 P0是参考值 通常取为标准听觉阈限的声压级 X
  • 硬件基础-电容

    电容 本质 电容两端电压不能激变 所以可以起到稳定电压作用 充放电 电容量的大小 想使电容容量大 使用介电常数高的介质 增大极板间的面积 减小极板间的距离 品牌 国外 村田 muRata 松下 PANASONIC 三星 SAMSUNG 太诱
  • AD7021C 集成触摸感应加单路调光芯片 可实现无极调光和单按键开关功能

    AD7021C触摸感应IC 是为实现人体触摸界面而设计的集成电路 可替代机械式轻触按键 实现防水防尘 密封隔离 坚固美观的操作界面 使用该芯片可以实现 LED 灯光亮度调节 方案所需的外围电路简单 操作方便 确定好灵敏度选择电容 IC 就可
  • AD7021C 集成触摸感应加单路调光芯片 可实现无极调光和单按键开关功能

    AD7021C触摸感应IC 是为实现人体触摸界面而设计的集成电路 可替代机械式轻触按键 实现防水防尘 密封隔离 坚固美观的操作界面 使用该芯片可以实现 LED 灯光亮度调节 方案所需的外围电路简单 操作方便 确定好灵敏度选择电容 IC 就可
  • VD4056H 输入高耐压30V 带OVP反接功能 适用于TYPEC口的高浪涌电压

    VD4056H是一款高集成度 高性价比的单节锂离子电池充电器 它采用恒定电流 恒定电压线性控制 只需较少的外部元件数目 使得其成为便携式应用的理想选择 同时也适合USB电源和适配器电源工作 VD4056H采用了内部P MOSFET架构 并含

随机推荐

  • squid使用NCSA验证

    如果要在squid中加入用户名和密码的验证 使用NCSA是最方便的 生成用户名 密码文件 用命令 htpasswd 来生成 使用非常简单 生成一个叫passwdfile的密码文件 包含username和password账户 htpasswd
  • 【电子电路】RS485收发器两种典型电路

    1 基本RS 485 电路 图1为一个经常被应用到的SP485R芯片的示范电路 可以被直接嵌入实际的RS 485应用电路中 微处理器的标准串行口通过RXD 直接连接SP485R 芯片的 RO 引脚 通过TXD直接连接SP485R 芯片的 D
  • Markdown基础语法介绍

    何为Markdown Markdown是一种轻量级标记语言 它允许用户以纯文本格式编写文档 然后转换成有效的XHTML或HTML文档 Markdown具备轻量化 跨平台 易读易写等特性 且支持文本 图片 图表等多种展示样式 Markdown
  • 使用Mac的十大最好用神器

    资深 Mac 用户 提升效率的 10 大神器 谢志鹏 大家好 我是曹将的徒弟 Pem 最近刚结束悉尼大学研究生的学习 是一枚正在求职的交互设计师 我是从 2015 年开始使用 Mac 的 如果用一句话来形容 Mac 的使用感受 那就是 令人
  • 下载Freescale CodeWarrior 11.0解决Freescale CodeWarrior 代码限制(不需要license)

    一些NXP的项目需要软件Freescale CodeWarrior的最新版本10 7 但是新安装了软件之后 10 7版本的license只能使用一个月 一个月到期后 就会有代码大小的限制 这个时候 需要花钱购买license 费用几千块钱
  • android.accessibilityservice包介绍

    android accessibilityservice 英文原文 http developer android com reference android accessibilityservice package summary html
  • Ant Design Pro 修改主题设置

    Ant Design Pro 修改主题设置 主题是在项目根目录下的config defaultSettings js文件下内所定义的其中导出的Settings对象中即为默认的主题等配置 如下图 const Settings navTheme
  • Vue2项目使用高德地图

    目录 一 账号准备 1 注册账号 2 获取key 二 快速上手 1 安装 2 创建地图 3 点标记 4 海量点标记 5 简易行政区图 6 GeoJSON 三 绑定事件 总结 一 账号准备 1 注册账号 首先 注册开发者账号 成为高德开放平台
  • 高德地图加渐变色3D线段

    想用高德地图实现渐变色的边界效果 查看了很多资料 测试了很多方法 终于实现啦 记录一下 1 按照高德官方示例创建地图 var map new AMap Map container pitch 75 地图俯仰角度 有效范围 0 度 83 度
  • python基础练习--《人力资源管理员工管理》

    python新手入门练习 运用python的基础数据结构编写 人力资源管理员工管理 初学python 入门练习 留些记录 方便以后查看 如有错误 请诸位大神指点 谢谢 需求分析 要求使用python的最基础的数据结构 字典 元组 列表 字符
  • [系统安全] 四十六.恶意软件分析 (2)静态分析Capa经典工具批量提取静态特征和ATT&CK技战术

    终于忙完初稿 开心地写一篇博客 您可能之前看到过我写的类似文章 为什么还要重复撰写呢 只是想更好地帮助初学者了解病毒逆向分析和系统安全 更加成体系且不破坏之前的系列 因此 我重新开设了这个专栏 准备系统整理和深入学习系统安全 逆向分析和恶意
  • JS 实现一键复制(复制DIV)

    话不多说 直接上代码 JS部分 function copyDivContent divId 获取标签内容 const div document getElementById divId 创建文档区域 const range document
  • 计算机硬件系统结构主要分为什么五大组成,硬件系统的五大组成部分

    大家好 我是时间财富网智能客服时间君 上述问题将由我为大家进行解答 以计算机为例 硬件系统的五大组成部分别为 储存器 控制器 运算器 输入设备 输出设备 计算机硬件 Computer hardware 是指计算机系统中由电子 机械和光电元件
  • 面试题流散汇总

    1 n位数全排列 大字符串相加 SQL HTTPS 根据简历来问 2 MapReduce和Spark的主要区别在于 MapReduce使用持久存储 而Spark使用弹性分布式数据集 RDDS Spark之所以如此快速 原因在于它在内存中处理
  • python爬取链家二手房信息并存储到数据库

    爬取链家的二手房信息 存储到数据库方便以后查看 文章目录 页面分析 引入库 方法编写 主函数编写 运行结果 页面分析 分析页面后发现是前后端未分离的状态 所以需要使用xpath分析界面元素 在li中存放着对应的div 有相关的信息 分析请求
  • Android 接入穿山甲SDK之开屏广告

    大家可以先参考我的上一篇博客介绍了如何集成SDK以及一些工具类传送门 首先创建一个脚本写入如下内容 package com unity3d player chuanshanjia import android app Activity im
  • RabbitMQ教程-重要参数&&API解释

    RabbitMQ的工作原理 下图是RabbitMQ的基本结构 生产者发送消息流程 1 生产者和Broker建立TCP连接 2 生产者和Broker建立通道 3 生产者通过通道消息发送给Broker 由Exchange将消息进行转发 4 Ex
  • MFC中如何将Menu资源添加到主对话框中

    还是写个博客备忘吧 自己这个脑子哦 在主对话框 Dlg cpp中 找到OnInitDialog 这个函数 在 TODO 在此添加额外的初始化代码 下面 添加这样的三行代码 TODO 在此添加额外的初始化代码 CMenu m Menu m M
  • 召唤神龙打造自己的ChatGPT

    在之前的两篇文章中 我介绍了GPT 1和2的模型 并分别用Tensorflow和Pytorch来实现了模型的训练 具体可以见以下文章链接 1 基于Tensorflow来重现GPT v1模型 gzroy的博客 CSDN博客 2 花费7元训练自
  • 硬件系统工程师宝典(30)-----降压式Buck电路分析

    各位同学大家好 欢迎继续做客电子工程学习圈 今天我们继续来讲这本书 硬件系统工程师宝典 上篇我们说到DC DC变换中的开关调节模式有功耗小 效率高并且稳压范围宽的特点以及DC DC的指标参数和设计要求 今天我们来分析一下DC DC中的一个典