Lemmings3

2023-11-11

In addition to walking and falling, Lemmings can sometimes be told to do useful things, like dig (it starts digging when dig=1). A Lemming can dig if it is currently walking on ground (ground=1 and not falling), and will continue digging until it reaches the other side (ground=0). At that point, since there is no ground, it will fall (aaah!), then continue walking in its original direction once it hits ground again. As with falling, being bumped while digging has no effect, and being told to dig when falling or when there is no ground is ignored.

(In other words, a walking Lemming can fall, dig, or switch directions. If more than one of these conditions are satisfied, fall has higher precedence than dig, which has higher precedence than switching directions.)

Extend your finite state machine to model this behaviour.

 

 

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    input dig,
    output walk_left,
    output walk_right,
    output aaah,
    output digging ); 
	
    parameter LEFT = 3'b000,
    		DIG_L = 3'b110,
    		FALL_L = 3'b111, 
    		 RIGHT = 3'b001,
    		DIG_R = 3'b010,
    		FALL_R = 3'b011;
    
    reg [2:0] state;
    reg [2:0] next_state;
    
    always@(*)
        case (state)
            LEFT: 
                if(ground == 0)
                    next_state <= FALL_L;
            	else if(dig)
                	next_state <= DIG_L;
            	else if(bump_left)
                	next_state <= RIGHT;
            	else
                	next_state <= LEFT;
            DIG_L:
                if(ground == 0)
                    next_state <= FALL_L; 
            	else
                	next_state <= DIG_L;
            FALL_L:
                if(ground == 0)
                    next_state <= FALL_L;
            	else if(ground == 1)
                	next_state <= LEFT;
            	else
                	next_state <= FALL_L;
            RIGHT:
                 if(ground == 0)
                    next_state <= FALL_R;
            	else if(dig)
                	next_state <= DIG_R;
            else if(bump_right)
                	next_state <= LEFT;
            	else
                	next_state <= RIGHT;
            DIG_R:
                if(ground == 0)
                    next_state <= FALL_R; 
            	else
                	next_state <= DIG_R;
            FALL_R:
                if(ground == 0)
                    next_state <= FALL_R;
            	else if(ground == 1)
                	next_state <= RIGHT;
            	else
                	next_state <= FALL_R;
            default:
                next_state <= LEFT;
        endcase
    
    always@ (posedge clk or posedge areset)
        if(areset)
            state <= LEFT;
    	else 
            state <= next_state;
    
    assign digging = (state == DIG_R || state == DIG_L);
    assign aaah = (state == FALL_L || state == FALL_R);
    assign walk_left = (state == LEFT );
    assign walk_right = (state == RIGHT );
    
endmodule

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Lemmings3 的相关文章

  • 在 Verilog 中生成 For 循环中实例化模块

    我正在尝试使用 Verilog 实例化一些模块generate块 因为我将实例化可变数量的它们 genvar i generate for i 1 i lt 10 i i 1 begin status whatever status clk
  • 为什么我的输出没有被赋值?

    我正在为一个更大项目的一部分开发解码器 我有两个计数器充当该模块的输入 其中一个计数器计数 0 15 另一个计数器在第一个计数器达到 15 时递增一次 根据计数器的值 解码器输出不同的值 通常它是 0 1 或 1 但有时它必须是 0 707
  • Verilog HDL 循环语句错误:具有非常量循环条件的循环必须终止

    我对 Verilog 完全陌生 对于我在大学学习的课程 我必须很快了解它的很多内容 我正在摆弄我的 Altera DE2 板和 quartis2 并了解其细节 我正在尝试制作一个通过开关打开和关闭的计数器 到目前为止 计数器根据按键进行计数
  • Verilog 奇怪的仿真结果综合后

    我面临一个奇怪的问题 该代码适用于简单的 ALU 仅将感兴趣的代码粘贴到此处 always posedge clk or posedge rst begin if rst 1 begin mul valid shr 3 b000 end e
  • x 和 z 值在 Verilog 中到底代表什么?

    Verilog 标准定义了四种类型的位值 0 1 x 和 z 其中 0 表示低 1 表示高 x 表示未知 z 表示未驱动网络 有几个问题 x 是否意味着我们不知道该值是 0 还是 1 0 或 1 或 z 或者该值是未知的并且可以是 0 1
  • 使用forever和always语句

    以下两个代码都会生成一个时钟 我需要知道除了时钟生成之外 永远循环是否还有其他用途 我只在时钟一代中遇到过永远 如果只是为了这个目的 那岂不是毫无用处 initial begin clk 0 forever begin 5 clk clk
  • 如何在verilog中逐行读取文本文件?

    我有一个 SREC 文件 它是一个简单的文本文件 我想在 verilog 中逐行读取它 我怎样才能做到这一点 以下读取文件 每个时钟周期 1 行 预期的数据格式是每行一个十进制数 integer data file file handler
  • Verilog:添加寄存器的各个位(组合逻辑,寄存器宽度可参数化)

    我正在尝试想出一种方法来添加寄存器的各个位 例如 if regA 111000 then regB 3 位的总和regA 1 Verilog或SystemVerilog中是否有可以直接使用的可综合函数 运算符来执行此操作 如果不是 那么问题
  • verilog 中的“<<”运算符

    我有一个verilog代码 其中有一行如下 parameter ADDR WIDTH 8 parameter RAM DEPTH 1 lt lt ADDR WIDTH 这里将存储什么RAM DEPTH以及什么是 lt lt 操作员在这里做
  • Verilog 错误:必须连接到结构网络表达式

    我收到错误 output or inout port Qout must be connected to a structural net expression 我评论了下面代码中发生错误的行 代码被修剪 压缩 我搜索了答案 似乎我无法将输
  • 对象 <名称> 未声明

    这是我的代码 据我所知 LEDs被定义为 module sevenseg LEDs in output reg 6 0 LEDs input 3 0 in always in begin case in 0 LEDs 7 b1000000
  • 在 Verilog 中判断总线是否包含单个 x 的最佳方法是什么?

    我有一个监控总线的测试台 总线内的一些信号 位 可以是 1 bx 由于多种原因 我需要知道总线内是否有任何信号是 1 bx 如果总线包含任何 x 测试 不用于综合 仅用于模拟目的 的最佳方法是什么 我曾希望我可以使用减少或然后使用 但这似乎
  • 标识符必须用端口模式声明:busy。 (Verilog)

    我有如下所示的 Verilog 代码 当我编译它时 我收到以下错误消息 并且代码的第一行突出显示 Error 标识符必须用端口模式声明 busy Code module main clk rst start busy ready cnt s
  • 在测试台中显示信号名称/文字

    是否可以在 Verilog 中引用 显示信号的名称 文字 对于在 Verilog 测试台中创建通用信号检查功能来说 这将是一个有用的功能 我知道使用 display 时 m 将打印信号的范围 是否有显示信号名称的等效项 在 Verilog
  • 如何在 Verilog 中推断 Block RAM

    我在一个项目中遇到了一个非常具体的问题 这个问题已经困扰我好几天了 我有以下 RAM 模块的 Verilog 代码 module RAM param clk addr read write clear data in data out pa
  • reg 声明中的位顺序

    如果我需要使用 4 个 8 位数字 我会声明以下 reg reg 7 0 numbers 3 0 我对第一个和第二个声明 7 0 和 3 0 之间的区别感到很困惑 他们应该按什么顺序来 第一个是保留数字的大小 而第二个是保留数字的数量 还是
  • 系统 verilog 中没有类型的输入

    我在一个系统 verilog 代码的输入和输出的示例中遇到过module没有说明它们的类型 例如logic wire module mat to stream input 2 0 2 0 2 0 a b input newdata inpu
  • 学习 Verilog 的资源 [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我是 Verilog 新手 有人可以推荐学习资源 书籍 视频 博客或任何他们有良好个人经验并帮助他们更
  • 为什么我们在FGPA / VHDL / VIVADO中使用REG?

    我正在使用 Xilinx 的 vivado 在 verilog 中进行编程 我想知道为什么我们使用某些输出reg 例如reg 3 0 encoder output我们使用它是因为我们的 16 到 4 编码器有 4 个输出 对吧 我假设我们使
  • 修改verilog模式缩进

    我试图让 verilog 模式使用 2 个空格缩进除 decls 和always 之外的所有内容 这是我添加到 emacs 中的内容 define are not indented setq veril

随机推荐

  • hive的分组和组内排序

    背景 hive的分组和组内排序 语法 语法 row number over partition by 字段a order by 计算项b desc rank rank是排序的别名 partition by 用于给结果集分组 如果没有指定那么
  • ES内存持续增长问题分析

    环境介绍 es版本 5 6 4 Xms31g Xmx31g XX MaxDirectMemorySize 10g 问题说明 用top命令观察ES使用的物理内存不断增加到54 6G 已知堆内存31G 堆外内存MaxDirectMemorySi
  • one-class(单分类) kNN(K-Nearest Neighbor)算法Matlab实现

    one class 单分类 kNN K Nearest Neighbor 算法Matlab实现 本文的核心是给出了一个基于kNN的单分类 one class 分类器实现代码 并给出了数据以及运行实例 让读者能更好地理解并使用 代码基于MAT
  • C++解决TCP粘包

    目录 TCP粘包问题 TCP客户端 TCP服务端 源码测试 TCP粘包问题 TCP是面向连接的 面向流的可靠性传输 TCP会将多个间隔较小且数据量小的数据 合并成一个大的数据块 然后进行封包发送 这样一个数据包里就可能含有多个消息的数据 面
  • Android车载开发必备知识

    Bing Android车载开发必备知识 你好 根据搜索结果 Android车载开发必备知识是指一些关于Android系统在车载环境中的应用 测试 分发和优化的技术和方法 可以帮助开发者在车载领域开发出高效 稳定 安全和用户友好的应用 以下
  • Gradle基本知识点与常用配置

    http blog csdn net u010818425 article details 52268126 查看原文 http blog csdn net u010818425 article details 52268126 本文篇幅较
  • 【C】PTA两个有序链表序列的合并

    课程 数据结构 陈越 何钦铭 本题要求实现一个函数 将两个链表表示的递增整数序列合并为一个非递减的整数序列 函数接口定义 List Merge List L1 List L2 其中List结构定义如下 typedef struct Node
  • 基于门控循环单元(GRU)的多输入多输出预测,门控循环单元(GRU)的数据回归预测。

    清空环境变量 warning off 关闭报警信息 close all 关闭开启的图窗 clear 清空变量 clc 清空命令行 导入数据 res xlsread 数据 xlsx 数据分析 num size 0 8 训练集占数据集比例 ou
  • 用CMAKE编译OpenCV 3.4.2+Opencv Contrib 3.4生成可执行包

    1 github下载OpenCV https github com opencv opencv tree 3 4 2 github下载高级扩展包 https github com opencv opencv contrib tree 3 4
  • 关于 IAR 环境的一些使用 琐记

    虽然对于IAR这个环境很不喜欢 但为了搞CC2530 不得不用 把碰到的一些点滴记录下来 1 Options gt C C Compiler gt Preprocessor gt Defined symbols one per line 的
  • 群晖NAS的公网、NAT、DDNS、证书等配置二

    一 公网IP DNSPOD域名解析 光猫桥接 路由器端口转发 DDNS解析 WebDAV实践 1 申请域名 去腾讯云申请一个域名 买个便宜的 好像是3年一百多块 腾讯云 产业智变 云启未来 2 申请公网IP 打电话给电信10000 转人工服
  • Libevent3——bufferevent上创建socket通信的服务器端、客户端的流程、及服务器端、客户端的代码实现分析⛽

    承接上文 服务器监听流程简要如下 创建监听器 有客户端连上时就是满足条件的时候 监听器的回调函数被调用 回调函数会创建新的用于通信的文件描述符fd 那么fd是需要被封装到一个bufferevent对象中 所以再创建一个bev对象对fd进行封
  • 如何修复ssh漏洞进行版本升级

    目录 一 ssh低版本漏洞信息 OpenSSH GSSAPI 处理远端代码执行漏洞 OpenSSH GSSAPI认证终止信息泄露漏洞 OpenSSH X连接会话劫持漏洞 二 升级ssh版本进行修复漏洞 第一步 安装Telnet服务 第二步
  • 轨迹数据挖掘(trajectory data mining)

    位置采集和移动计算技术的进步已经产生了大量的空间轨迹数据 这些数据代表了移动物体 如人 车辆和动物 的移动性 在过去十年中 已经提出了许多技术来处理 管理和挖掘轨迹数据 促进了广泛的应用 在本文中 我们对轨迹数据挖掘的主要研究进行了系统的调
  • 计算机键盘上删除,电脑键盘删除键是哪一个

    以win10 华为MateBook X为例 计算机键盘上 有两个具有删除功能的按键 分别是BackSpace键 退格键 和Delete键 删除键 BackSpace键只有1个 在主键盘区车键上方 有些键盘标注为 符号 在文本编辑状态 按下该
  • vue使用Teleport组件封装弹窗

    先看效果吧 使用弹窗组件文件 代码如下
  • CSDN问答

    近期AI成为热点话题 ChatGPT GPT4 new bing Bard AI 绘画 AI 编程工具引发大量讨论 请结合自身学习经历 一起来聊聊你对 AI 技术以及其今后发展的看法吧 请在下面的问题中选择一些来回答 1 你人生中第一次接触
  • Python编程:计算一元二次方程(用定义类的方法实现)

    Python编程 计算一元二次方程 题目 为一元二次方程ax 2 bx c 0设计一个类名为Equation的类 这个类包括 代表3个系数的成员变量a b c 一个名为getDiscriminant 的方法返回判别式的值 一个名为getRo
  • STM32-modbus rtu 之主机程序

    一 STM32串口的发送与接收 考虑到modbus的使用场合大多为半双工而非全双工 所以 串口接收采用DMA 空闲中断 发送则直接发送 include serial h include string h serialbuf st seria
  • Lemmings3

    In addition to walking and falling Lemmings can sometimes be told to do useful things like dig it starts digging when di