74160同步置数法解析(以接成同步八进制计数器为例)

2023-11-09

我们先来看一下电路逻辑图, 从中提取核心信息:

① 将QD、QC、QB、QA接成0010是为了配合LOAD引脚使用, 以将74160的状态置为0010.

②计数器的最大状态为1001, 当74160到达1001时, 通过7400N与非门将LOAD引脚置为0, 当下一个CLK上升沿到来时, 74160的状态即被置为0010; 当电路的状态到达0010时, LOAD引脚恢复高电平, 之后若干个CLK上升沿 74160正常计数.

③当QD、QC、QB、QA到达1001时, 计数器产生进位信号, 即RCO=1: 这正好与74160本身的性质一致, 所以可直接将74160的RCO引脚作为计数器输出引脚.

可能产生的疑问:

①ENT和ENP引脚是不是有些多余? 

答: 不多余. ENT和ENP引脚有一个为低电平时, 74160即处于保持状态——后续要更新的博客"ENT引脚设计法解析"就是用这两个引脚的巧妙配合, 实现同步100进制加法计数器的功能.

②QD、QC、QB、QA被置为0010前后, 74160是如何工作的?

答: (QD, QC, QB, QA) = (1,0,0,0) -> 系统CLK上升沿到来 -> (QD, QC, QB, QA) = (1,0,0,1) -> LOAD 由 1->0 -> 系统CLK上升沿到来 -> (QD, QC, QB, QA) = (D, C, B, A) = (0,0,1,0) -> LOAD 由 0->1 -> 系统CLK上升沿到来 -> (QD, QC, QB, QA) = (0,0,1,1) -> ...... -> (QD, QC, QB, QA) = (1,0,0,0). 74160按照上述描述循环变化. 补充说明一下, 当 (QD, QC, QB, QA) = (1,0,0,1)时, RCO =1, 其余状态下RCO=0.

③用触发器和逻辑门设计同步N进制计数器时就对进位的设置有疑惑, 为什么是电路状态到达1001时 RCO=1, 而不是电路状态到达0000时 RCO=1?

答: 这个问题也一直困惑着我, 但从74160的使用中我得出了可靠的结论. 我们来分析一下进位引脚RCO的变化情况: 电路状态到达1000(RCO=0) -> 系统CLK上升沿到来 -> 电路状态到达1001(RCO=1) -> 系统CLK上升沿到来 -> 电路状态到达0000(RCO=0), 根据上述过程, 若将RCO引脚引出, 加到非门的输入, 再将非门的输出接到高位74160的CLK引脚, 那么过程将是这样的: 电路状态到达1000(RCO=1) -> 系统CLK上升沿到来 -> 电路状态到达1001(RCO=0) -> 系统CLK上升沿到来 -> 电路状态到达0000(RCO=1). 从这里可以看出, 现在的进位逻辑符合我们的认知了. 

④只要是用74160以同步置数法设计N进制计数器, 都可以直接将RCO引脚拉出来作为进位输出引脚吗?

答: 不可以. 只有当我们设计的电路的最后一个状态为1001时, 才可以这样做: 否则, 就要重新设计输出引脚. 比如电路的状态从0011依次递增到1000, 那么进位输出引脚应该在电路到达1000时变为高电平——所以可以将QD引脚直接引出, 作为进位输出引脚即可.

⑤为什么上面电路中将QD和QA引脚接到7400N与非门的两输入端, 输出端就可以直接接到LOAD引脚, 而不是需要将QD、QC、QB、QA按照1001的状态, 使LOAD=QD·QC'·QB'·QA呢?

答: 如此考虑说明你是一个严谨的人, 但这并不是最简单的解法, 即要浪费一个或多个逻辑门. 我们来看一下74160的状态变化过程: 0000 -> 0001 -> 0010 -> 0011 -> 0100 -> 0101 -> 0110 -> 0111 -> 1000 -> 1001 -> 0000. 显然, 只有状态为1001时, QD和QA才同时为高电平. 所以可以按照上面电路图中的接法来接线. 但对于74163(十六进制加法计数器)就要多考虑一些情况: 比如用同步置数法, 当电路状态到达1101时(比如电路状态从0100开始), LOAD引脚置为0. 那么这里就不能只将QC和QA引出, 接到与非门的两输入端, 输出端接到LOAD引脚了: 而是应该将QD、QC和QA引出, 接到与非门的三输入端, 输出端接到LOAD引脚. 这里需读者自行体会, 且需要根据实际情况来做出相应的设计. 

⑥以74160为核心部件, 再辅以合适的逻辑门, 可以构成具有何种功能的电路呢?

答: 可以用三片74160和合适的逻辑门接成N(101≤N≤1000)进制加法计数器, 或者用其它方法接成相应功能的电路. 若能灵活使用74160, 那么在设计时序逻辑电路时的效率会大大提升.

⑦为什么我把同步置数法放在74160应用介绍部分的第一篇, 是不是有一定的用意呢?

答: 是的. 我最喜欢以同步置数法设计电路, 因为在设计电子表时, 我发现用同步置数法得到的数字显示是最稳定的, 也是最贴合实际要求的. 若用异步清零法来设计电路, 那么在高低位数字进位的一瞬间, 显示的数字在一小段时间内会经历两次变化, 即会使使用者感到眼花缭乱. 既然同步置数法和异步清零法都可以实现某种功能, 那么为何不用显示最为稳定的那种方法(同步置数法)呢. 当然, 还有ENT引脚设计法没有给大家介绍. ENT引脚设计法大多用于级联74160以构成更高进制的计数器电路, 所以可以说, 要想掌握ENT引脚设计法, 就必须先将单片74160的设计方法弄懂; 否则是行不通的.

⑧为什么我将设计的电路称为"同步八进制计数器", 而不是"同步八进制加法计数器"呢?

答: 若产生该疑惑, 则说明对于用触发器和逻辑门来设计同步时序逻辑电路这一部分尚未完全掌握, 需回过头去复习这一部分的内容. 由于电路的初始状态为0010, 即第一个状态不是0, 不符合日常加法计数的状态规律(不是从0000开始), 所以称之为"同步八进制计数器". 

⑨什么时候用N进制计数器, 什么时候用N进制加法计数器呢?

答: 这个问题问得好. 如果我们需要将状态显示出来, 或电路的计算需要用到从零开始计数的状态, 那么就必须用N进制加法计数器. 如果只是记录某个动作的发生次数, 当次数到达一定数量时产生输出信号, 那么用N进制计数器即可.

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

74160同步置数法解析(以接成同步八进制计数器为例) 的相关文章

  • 数字电路(三)最小项和最大项

    逻辑抽象和描述 把实际问题抽象成变量和逻辑函数根据逻辑函数绘制框图使用真值表或者自然语言描述函数表达式 最小项和最大项 最小项和最大项的定义SSOP和 sum 记法最大项 xff1a 下标和真值表行号一样 xff0c 进制转换值要取反 同一
  • 【课内学习】数字电路Flip-Flop

    本文仅供博主自己复习使用 xff0c 因此只记录了自己容易忘记的知识点 xff0c 并非成体系的整理 Latches and Flip Flops S R latch Why do we need this stupid drive equ
  • 101序列检测器设计

    101序列检测电路设计 该题对于和我一样即将参加研究生考试的考生来说十分重要 在2014年山东大学研究生入学考试数字电路831和2018年山东大学研究生入学考试数字电路906的试卷中均考到该题 这说明我们要对数据序列检测电路高度重视 设计心
  • 组合逻辑电路——编码器

    组合逻辑电路 编码器 概念 编码的概念 在数字系统中 常需要将有特定意义的信息编成二进制代码 这一过程称为编码 编码器 实现编码的数字电路被称为编码器 二进制编码器 这里我们采用与非门来设计二进制编码器 二进制编码器输出端数量不定 可以根据
  • Timing Borrow的理解

    在集成电路设计中 静态时序分析 Static Timing Analysis STA 是一种常用的验证方法 用于确保芯片在运行时的时序约束得到满足 在STA分析过程中 Timing Borrow是一种时序收敛技术 即在某些情况下 可以借用下
  • 超详细!基于Proteus的简易测频计实现(数字电路课程设计)

    本文阐述基于Proteus 7 8的简易测频计电路的实现 附具体电路的工程文件下载 工程文件下载链接 设计要求 闸门时间1S 10S可选 读数保持时间10秒 可选 四位数字显示 范围000 1 9999 Hz 能够自动进行下一次测量 设计方
  • chisel线网(wire)和寄存器(reg)详解(更新)

    主体内容摘自 https blog csdn net qq 34291505 article details 87714172 在Verilog里 模块内部主要有 线网 wire 和 四态变量 reg 两种硬件类型 它们用于描述数字电路的组
  • 超详细!基于Proteus的出租车计价器实现(数字电路课程设计)

    本文阐述基于Proteus 7 8的出租车计价器电路的实现 附具体电路的工程文件下载 工程文件下载链接 设计要求 里程测量精确到1 按起步价7元 3公里 起步价外按1 4元 公里进行计价 等候按1 4元 10分钟计算 具有里程显示 收费显示
  • 数字电路设计之加法器的实现

    今天在看博客的时候看到有一种新颖的加法器写法 这和之前的超前进位加法器 二进制加法器不同 这个加法器应用了循环 我觉得应该会综合出来一个很差的东西 然后我试了一下 代码 module adder x y cin sum cout param
  • 数字电路实验(02)小规模组合逻辑电路实验1:交通灯状态

    数字电路实验 02 小规模组合逻辑电路实验1 交通灯状态 2020 5 11 一 实验要求 1 1 实验目的 1 认识解决实际组合逻辑问题的一般方法和过程 2 熟悉基本逻辑门的使用 1 2 实验器材 1 2输入与门 2 3输入与门 3 4输
  • verilog中带符号数据的赋值问题(记录)

    记录今日新发现 关于带符号数据的赋值问题 在组合逻辑中 等号两端数据type类型要相同 即同为signed型或unsigned型 在时序电路中 等号两端数据type类型可以不同 signed或unsigned都可以 wire 1 0 a b
  • chisel多时钟域设计(注释)

    在数字电路中免不了用到多时钟域设计 尤其是设计异步FIFO这样的同步元件 在Verilog里 多时钟域的设计很简单 只需声明多个时钟端口 然后不同的always语句块根据需要选择不同的时钟作为敏感变量即可 在Chisel里 则相对复杂一些
  • 竞争与冒险

    竞争与冒险 文章目录 1 竞争与冒险产生原因 2 判断电路是否存在竞争 冒险现象 3 消除竞争与冒险 1 竞争与冒险产生原因 观察以下门电路 Gate1为 非门 Gate2为 与门 实现了逻辑 F A A
  • 74160同步置数法解析(以接成同步八进制计数器为例)

    我们先来看一下电路逻辑图 从中提取核心信息 将QD QC QB QA接成0010是为了配合LOAD引脚使用 以将74160的状态置为0010 计数器的最大状态为1001 当74160到达1001时 通过7400N与非门将LOAD引脚置为0
  • 握手信号valid/ready的打拍技巧

    一 前言 valid和ready信号 尤其是ready信号的时序一般很差 因为它通常是接收端通过组合逻辑输出的 当流水线的级数较多时 ready反压信号一级一级往前传递 时序将会变得更差 为了优化时序 通常需要对valid和ready信号进
  • 小学期-中期总结报告

    实训中期总结报告 一 人文 本次实训采取讲练结合的方式 四次讲座分别介绍了实训整体要求安排 开发环境与流程 实验板的硬件电路 单片机原理 随着进度循序渐进 在实践方面 参观贴片整体流程 自己动手焊接电路板 下载实例进行学习 各个案例按照I
  • 组合逻辑毛刺消除(竞争冒险)

    一 毛刺产生的原因 信号在 IC FPGA 器件中通过逻辑单元连线时 是存在延时的 延时的大小不仅和连线的长短和逻辑单元的数目有关 而且也和器件的制造工艺 工作环境等有关 因此 信号在器件中传输的时候 所需要的时间是不能精确估计的 当多路信
  • 芯片后端开发基础知识(二)

    目录 1 静态时序分析 Static Timing Analysis 2 波形的压摆 Slew 3 信号偏斜 Skew 4 时序路径 Clock Path 5 时序弧 Timing Arc 6 时钟域 Clock Domain 7 工作环境
  • 【技术干货】数字电路电平标准

    信号的逻辑电平经历了从单端信号到差分信号 从低速信号到高速信号的发展过程 最基本的单端信号逻辑电平为CMOS TTL 在此基础上随着电压摆幅的降低 出现LVCMOS LVTTL等逻辑电平 随着信号速率的提升又出现ECL PECL LVPEC
  • 输入延时(Input Delay)与输出延时(Output Delay)

    一 设置输入延时 Input Delay 1 不同的路径需要使用不同的约束 2 输入延时的定义 由下图可以看出Input Delay是以上游芯片的时钟发送沿为参考 上游的输出数据到达FPGA的外部输入端口之间的延迟 输入延迟 input d

随机推荐

  • NetworkManager is not running

    nmtui 提示 NetworkManager is not running 启动 sudo service network manager start 提示 Redirecting to bin systemctl start netwo
  • python+vue+django考研信息查询辅导学习指南系统

    系统分为学生 管理员 导师三个角色 学生的功能是注册登陆系统 查看考研资讯 学校 专业 项目等信息 在线交流 联系客服 申请设备 导师对学生的申请设备进行审核 管理员对学生 资讯 导师 专业 学校 设备 项目等信息进行管理 管理员的主要功能
  • [机缘参悟-101] :IT人 - 遵从世界本源的样子,不带个人情感、道德、认知倾向,接纳一切,你就拥有无限的力量

    目录 道的本义 如来的本义 观音的本义 无为而治本质是顺势而为 儒家的本质 感悟 道的本义本质 天地的力量和运行规律 天地以万物为刍狗 是出自 道德经 第五十章的一句话 在这句话中 天地 指的是宇宙 万物 代表着宇宙中的一切生物和事物 而
  • 本地上传文件到github报错

    rejected master gt master non fast forward error failed to push some refs to https github com linanyways myProject git h
  • Error: L6218E: Undefined symbol vApplicationGetIdleTaskMemory (referred from tasks.o).

    我用的是F103ZET6的板子 移植成功后 编译出现两个错误是关于stm32f10x it c 里 void SVC Handler void void PendSV Handler void 两个函数的占用问题 随后编译出现以下两个问题
  • html iframe 传递数据,IFrame传入POST参数。

    我有一个API 比如http XXX test 只支持POST请求 需要传类似如下的参数才能拿到结果 id 12 userInfo name amy age 13 map fieldName AA mapName AAA fieldName
  • instanceof,isInstance,isAssignableFrom的区别,以及isAssignableFrom()详解

    一 isAssignableFrom详解 这个方法我觉得是不好理解 感觉命名不对劲 不知道是不是错觉 Class类的isAssignableFrom Class cls 方法 如果调用这个方法的class或接口 与 参数cls表示的类或接口
  • 群晖NAS和绿联NAS的Docker安装odoo16社区版和企业版教程

    社区版安装 1 在群晖后台安装好docker套件 并去控制面板 终端机和SNMP打开SSH功能 绿联nas的同样进管理界面安装docker套件 绿联打开SSH方法是在设备 远程调试下面 把远程调试打开 然后就会跳出来 验证码 然后下面这一段
  • form表单传值之Form表单对象

    function check var formData new FormData formData append name input name name val formData append pid select name pid va
  • win服务器创建文件夹命令行,怎样在windows的cmd命令行下创建删除文件和文件夹...

    在window下我们往往通过 右键 gt 新建 命令来创建文件和文件夹 但有时会遇到 以点开头的文件 比如 log 这种文件用鼠标新建是新建不了的 这时我们可以在DOS下用命令行来创建 所以在这里我将平时在dos里创建文件和文件夹的方法列举
  • 为什么应该用模块取代C/C++中的头文件?

    原文地址 http www csdn net article 2012 11 28 2812274 module replace C based languages headers 本文整理自Apple C 工程师Doug Gregor的演
  • 关于“异步复位,同步复位,异步复位同步释放”的理解

    文章目录 1 异步复位 2 同步复位 3 异步复位同步释放 今天好好理一理异步复位 同步复位 以及亚稳态中的异步复位同步释放 1 异步复位 一般让复位信号低电平有效 复位信号不受时钟的控制 只要复位信号有效 那么电路就会复位 对应的写法为
  • 十五、Redis——网络模型

    目录 1 1 用户空间和内核态空间 1 2 网络模型 阻塞IO 1 3 网络模型 非阻塞IO 1 4 网络模型 IO多路复用 总结 编辑 1 5 网络模型 信号驱动IO 1 6 网络模型 异步IO 1 7 同步和异步划分 1 8 Redis
  • React根据条件渲染不同的组件

    最近在做一个电商后台管理项目 碰到了一个要根据前面的条件不同来渲染不同的组件 如下图所示 要根据商品的分类来显示不同的商品属性 最开始以为简单的使用switch来判断条件即可 但是在react组件当中 一个函数是不能返回另一个函数的 这就导
  • linux 命令之nc

    nc使用示例 端口扫描nc v w 2 192 168 2 34 z 21 24nc connect to 192 168 2 34 port 21 tcp failed Connection refusedConnection to 19
  • 数字后端基本概念介绍——Track

    今天要给大家介绍的数字后端基本概念是Track Track是指走线轨道 和row一样 可以约束走线器的走线方向 信号线通常必须走在track上 Std Cell的高度通常用metal2 track pitch来表示 常用的 std cell
  • 出租车费

    题目描述 某市出租车计价规则如下 起步4公里10元 即使你的行程没超过4公里 接下来的4公里 每公里2元 之后每公里2 4元 行程的最后一段即使不到1公里 也当作1公里计费 一个乘客可以根据行程公里数合理安排坐车方式来使自己的打车费最小 例
  • 关于 栈 和 队列,你还在犯迷糊吗?

    我是目录 1 队列 1 Queue 队列 2 Deque 双向队列 2 栈 从数据结构角度来看 栈 和 队列 都是一种特殊的线性结构 只是对 插入 删除 元素的方式做了限制 栈 先进后出 push pop peek 的时间复杂度都是 O 1
  • git rebase小计

    http www cnblogs com kym archive 2010 08 12 1797937 html git rebase 顾名思义 就是重新定义 re 起点 base 的作用 即重新定义分支的版本库状态 要搞清楚这个东西 要先
  • 74160同步置数法解析(以接成同步八进制计数器为例)

    我们先来看一下电路逻辑图 从中提取核心信息 将QD QC QB QA接成0010是为了配合LOAD引脚使用 以将74160的状态置为0010 计数器的最大状态为1001 当74160到达1001时 通过7400N与非门将LOAD引脚置为0