FPGA--synplify+vivado综合 时钟路径优化Error

2023-11-06

项目场景:

  目前在做FPGA验证,使用到了synplify+vivado的流程(rtl使用了synopsys的ip,vivado似乎不能直接使用,而且vivado读取rtl文件时间也很长,需要3-4个小时才能读取完,主要是工程有点大,源文件比较多,用synplify可以提高效率),


问题描述

  在FPGA测试时,AXI总线没有数据(VCS仿真了FPGA环境,系统可以正常运行),以为是时序问题(工程太大了,跑vivado的时候关闭了report,fpga时钟频率也很低,只有二十几兆,就没有care timing),删减了大部分逻辑,跑了个简化版的,仍然不行(从rtl到net就不行了,有点蒙)
  没办法,只好抓几个信号了,一看波形aready没有。。。(更蒙了),master一直在等待slave的ready(仿真波形,ready在释放rstn后置位),fpga波形是没法抓了,跑一次vivado,需要一天时间,效率太低了,找到原因得猴年马月了,灵机一动,把网表写出来用vcs仿真
  追踪波形,发现时钟没了。。。。对比了下rtl和net,时钟被更改了。。。活见鬼


原因分析:

rtl 源设计:

  寄存器时钟来源于source clk 和他的分频时钟

always @ (posedge clk or negedge rstn)
  if      (!rstn ) clk_div <= 'd0;
  else if (div_en) clk_div <= ~clk_div;

wire clk_gate = clk_gate_en &clk;

wire clk1 = clk_gate | clk_div;

always @ (posedge clk1 or negedge rstn)
  if (!rstn) reg1 <= 'd0;
  else ...

  从逻辑上来看,综合后reg1的时钟应该是源时钟和分频时钟组合后的时钟,如下图所示
在这里插入图片描述
  但根据net来,却只有源时钟一个来源,分频时钟不见了。。。
在这里插入图片描述
  为了确定时vivado综合出的问题还是synplify,又查看了edf的原理图,一样的结构,时钟被修改了。。。使用了clk1的寄存器,时钟来源都是原始值clk(gate时钟会被disable),分频时钟被认定为signal,导致寄存器没有时钟,无法触发
  看了下synplify脚本,分频时钟没有定义(前期调试,时钟没有约束完,而且以往的dc经验告诉我,即使不约束也不会出现这种问题)


解决方案:

提示:这里填写该问题的具体解决方案:

  添加时钟约束,从新跑synplify综合,分频时钟被识别到了。。。。由于没有定义为时钟,把分频时钟clk_div从寄存器的clk端移除,连接到数据通路,这个原因有点不理解

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

FPGA--synplify+vivado综合 时钟路径优化Error 的相关文章

  • 短视频时代:文案的力量与价值

    在当今这个信息时代 短视频以其独特的魅力和巨大的传播力 已经深深地渗透到我们的生活中 无论是抖音 快手 还是其他短视频平台 它们都在以自己独特的方式塑造着我们的娱乐生活 而在这些短片的背后 有一个重要的元素在发挥着关键的作用 那就是文案 文
  • p图软件手机免费的软件有哪些?开启美化照片之旅

    随着社交媒体的普及 人们对照片的要求也越来越高 都希望自己发到社交平台的图片可以十分有趣或者精致 为了满足大家的需求 p图软件免费的p图软件应运而生 这些软件不仅可以帮助我们快速修整照片 还能让我们轻松实现各种创意效果 今天就来介绍几款不错
  • 1.69寸SPI接口240*280TFT液晶显示模块使用中碰到的问题

    1 69寸SPI接口240 280TFT液晶显示模块使用中碰到的问题说明并记录一下 在网上买了1 69寸液晶显示模块 使用spi接口 分辨率240 280 给的参考程序是GPIO模拟的SPI接口 打算先移植到FreeRtos测试 再慢慢使用
  • allegro画PCB如何更新元件的PCB封装

    allegro画PCB如何更新元件的PCB封装 一 更新单个器件的PCB封装 首先菜单栏选择Place gt Update Symbols 如下图 注意此案例是更新了C0805封装 中更新封装 就将上图第二个红色方框中的勾选即可 二 更新某
  • 1.69寸SPI接口240*280TFT液晶显示模块使用中碰到的问题

    1 69寸SPI接口240 280TFT液晶显示模块使用中碰到的问题说明并记录一下 在网上买了1 69寸液晶显示模块 使用spi接口 分辨率240 280 给的参考程序是GPIO模拟的SPI接口 打算先移植到FreeRtos测试 再慢慢使用
  • 视频转文字怎么弄?这几个方法让你事半功倍

    这个学期上网课上到人都傻了 谁能懂我的痛啊 你有没有试过一边听网课一边写笔记 结果手速跟不上 导致笔记记得不完整 而且手上写出来的字还跟狗爬一样 更郁闷的是 有时候一个短短十分钟的视频 要花上半个小时 甚至更久去记录下重点内容 这怎么行啊
  • pdf压缩大小方法哪个好用些?这三招可不要错过

    大家有没有被龟速的文件上传速度折磨过 好不容易等到上传进度走到最后一点点 但是却眼睁睁看着上传速度突然降到2kb s 真的是分分钟被 气死 拿网速没办法 那就只能从文件大小下手了 而怎么样做到压缩文件大小的同时又能保持它的清晰度呢 这当然少
  • ITLV格式:优化数据处理与通信效率

    许多文章中经常使用 它是基于TLV Tag Length Value 格式的一种变体 根据实际需要进行了一些修改 在ITLV格式中 各字段具有以下含义 I ID或Index 用于区分不同的数据 每个数据都有一个唯一的ID或索引 用于标识该数
  • 研发实验室设计

    在科技日新月异的今天 研发实验室已经成为创新的重要载体 一个高效 人性化的实验室环境不仅能提升研发效率 更能激发科研人员的创新活力 SICOLAB喜格 将探讨研发实验室设计的核心理念和实践策略 以期为读者提供有益的参考 一 以人为本的设计理
  • 在线智能抠图软件有哪些?证件照背景颜色更换不求人

    2024年上半年全国计算机等级考试 NCRE 报名开始啦 不出意外 这次报名仍然是需要提交证件照 具体要求如下 本人近期正面免冠 白色背景 彩色证件照 不得使用生活照 美颜照 最小像素高宽为192x144 最小成像区高宽为48mmx33mm
  • 机器配音在线工具有哪些? 让你的语音合成更自然

    你是不是也想成为一名大博主 随着现在的互联网时代蓬勃发展 出现了一批又一批的网红 在家里带带货 拍拍视频就能赚大钱 越来越多的人朝向网络世界进击 那么这些大博主制作一个爆款视频 必不可少的就是配音啦 但是其实很多博主用的并不是真人配音 而是
  • 【性能测试入门】:压力测试概念!

    压力测试可以验证软件应用程序的稳定性和可靠性 压力测试的目标是评估软件在极端负载条件下的鲁棒性和错误处理能力 并确保软件在紧急情况下不会崩溃 它甚至可以进行超出软件正常工作条件的测试 并评估软件在极端条件下的工作方式 在软件工程中 压力测试
  • 处理不舒服的同事关系:实用建议与技巧

    处理不舒服的同事关系 实用建议与技巧 在工作中 我们难免会遇到一些与同事关系不和谐的情况 这些不舒服的关系可能会影响到我们的工作情绪和效率 那么 如何处理这些不舒服的同事关系呢 本文将为你提供一些实用的建议 一 保持冷静和理智 在处理同事关
  • 有效降低EMI干扰的PCB设计原则

    降低EMI干扰的一些PCB设计建议 1 通过在所有信号下提供低阻抗 连续的返回路径来减少地面反弹 尤其是在表层布线时 2 保持所有走线距离板的边缘至少5倍信号线宽 3 对于关键信号 尽量采用带状线布局 4 将高速率 大电流的组件尽可能远离I
  • 图片翻译在线怎么用?分享翻译软件给你

    作为一个不擅长学习语言的人 我真是要被生活中似乎无处不在的英语搞蒙了 想象一下 你正在逛商场 想买一瓶洗护用品 拿起来却看到商品上满是看不懂英文说明 是不是一头雾水 或者 你在浏览社交媒体时 看到一张充满英文的趣味图片 却因为语言障碍而错过
  • 语音翻译软件app哪家好?帮你和外国人无碍交流的软件分享

    在和外国人交流的时候发现听不懂怎么办 还能怎么办 谁让我们的英语没学好呢 这种时候还是得寻求其他人的帮助 不过万一要是在只有你一个人的情况下又怎么办呢 俗话说 求人不如求己 那还是得在自己手机里时刻准备好能够翻译英语的工具呀 今天就给大家分
  • 有效降低信号串扰的PCB设计原则

    降低信号串扰的一些PCB设计建议 1 对于传输线 保持相邻信号线之间的间距至少为两倍信号线宽 2 尽量避免信号跨越返回路径中的不连续点或者空隙 3 如果必须在返回路径中跨越空隙 则尽量使用差分线 4 电容器不是一种低阻抗互连结构 其高频阻抗
  • 如何正确下载激活NTFS for Mac2024最新版本?

    对于产品来说 更新换代是常有的事 很多软件在用户使用过后 会根据用户的使用需求以及一些客观需求 将软件进行改进 这样一个新的版本的软件就会出现 用户需要将软件进行更新才能享受最新的功能 使用更加完善的软件 所以我们一定要学会如何将软件进行更
  • DSCA190V 57310001-PK

    DSCA190V 57310001 PK DSCA190V 57310001 PK 具有两个可编程继电器功能 并安装在坚固的 XP 外壳中 DSCA190V 57310001 PK 即可使用 只需最少的最终用户校准 DSCA190V 573
  • ESP10B 锁定连接器

    ESP10B 锁定连接器 ESP10B 电机新增内容包括双极型号标准 NEMA 尺寸 17 23 和 34 的步进电机现在包括输出扭矩范围从 61 盎司英寸到 1291 盎司英寸的双极型号 该电机配有带锁定连接器的尾缆 可轻松连接 每转可步

随机推荐

  • 数据可视化——简单图形绘制(饼图,柱状图,直方图,散点图,箱线图,折线图)

    各种图形的label参数都与plt legend函数配合使用 import pandas as pd import numpy as np import matplotlib pyplot as plt 更改文件存放提取路径 import
  • pjsip视频通信开发(底层实现)之用户注册

    一 PJSIP简介 对于pjsip的介绍可以看http www cnblogs com my life articles 2175462 html 文章 里面详细介绍了它的组成框架以及各部份的组成介绍 我把官网中提供的一个pjsip的整体框
  • angularJs 一些API

    angular bind 返回一个调用self的函数fn self代表fn里的this 可以给fn提供参数args 这个功能也被称为局部操作 以区别功能 格式 angular bind self fn args self object 对象
  • Swiper参数说明(swiper参数配置)

    1 自由模式与滑块容器 Free Mode and Scroll Container Parameter 参数 Type 类型 Default Value 默认值 Example 例子 Description 说明 speed number
  • 计算机教师招聘笔试总结

    大专数字媒体专业教师中级岗 笔试内容 数字媒体技术 艺术史 计算机图形学 虚拟现实 数字媒体艺术 数据库 教育基础 笔试成绩 第一且只有我一人进面 准备时间 一周 准备过程 这所学校3月份就发了招聘简章 但因为我白天要上课 晚上要打游戏 所
  • Eclipse中断点调试的基本使用

    Eclipse中断点调试的基本使用 A Debug的作用 调试程序 查看程序执行流程 B 如何查看程序执行流程 什么是断点 就是一个标记 从哪里开始 如何设置断点 你想看哪里的程序 你就在那个有效程序的左边双击即可 在哪里设置断点 哪里不会
  • 2021年第十二届蓝桥杯javaA组国赛

    文章目录 试题 A 纯质数 试题 B 完全日期 试题 C 最小权值 试题 D 覆盖 试题 E 123 试题 F 二进制问题 试题 G 冰山 试题 H 和与乘积 试题 I 异或三角 试题 J 积木 以下均为个人想法和解题思路 如有错误或不足
  • openGL之API学习(五十二)透视分割 透视除法的执行位置

    根据文章https blog csdn net hankern article details 89220736 的分析 透视分割 又叫透视除法 执行的位置在栅格化阶段
  • Integer类型和int类型比较是否相等 == equals - Java

    非常经典的一个面试题 先说清楚一个 再来说另一个 用来判断两个变量之间的的值是否相等 变量就可以分为 基本数据类型变量 引用类型 1 基本数据类型的变量直接比较值 2 引用类型比较对应的引用指向的内存的首地址 equals只有引用数据类型有
  • docker的运行原理

    Docker 是一个开源的容器化技术 它能够让开发者将应用及其依赖打包到一个轻量级的 可移植的容器中 这个容器可以在几乎任何机器上一致地运行 要了解 Docker 的运行原理 我们首先要理解以下几个核心概念 容器 Container 容器是
  • android自定义控件onclick,android – 如何在ImageButton中设置onClick事件?

    我在 android中创建了一个图像按钮 但是当我点击该按钮时没有发生任何事情 我已经设置了所有属性 但仍然没有发生任何事情 所以 在我错的地方 你能帮助我吗 xml文件 android id id widget39 android lay
  • 产品数据管理系统框架与信息安全

    2 1引言 产品数据管理 Product Data Management PDM 以产品为中心 通过计算机网络和数据库技术 把企业生产过程中所有与产品相关的信息和过程集成起来 统一管理 使产品数据在其生命周期内保持一致 最新和安全 为工程技
  • Vue中如何进行数据缓存

    Vue中如何进行数据缓存 Vue是一款流行的前端框架 它提供了许多方便的功能来处理数据 其中一个非常有用的功能是数据缓存 数据缓存可以提高应用程序的性能 减少网络请求 提高用户体验 在本文中 我们将介绍Vue中如何进行数据缓存 并提供一些示
  • 用html实现一个静态登陆页面-可根据需求更改样式

    一 创建html文件 vscode下载相关插件 我们先选择一个文件夹创建login html 之前的文件命无所谓 之后就必须为html或者htm 在编辑改文件输入 且出现提示后按回车或按tab快捷生成基础代码 然后我们下载一个可以方便我们开
  • 解决数据库连接池连接mysql时,每隔8小时mysql自动断开连接的问题

    解决数据库连接池连接mysql时 每隔8小时mysql自动断开所有连接的问题 最近有个问题非常讨厌 我们的工程中使用自己的连接池连接mysql数据库 可mysql数据库每隔8小时就会自动断开所有链接 连接池就失效 需要重新启动tomcat才
  • 组合类、派生类 拷贝构造函数

    在派生类中如何写拷贝构造函数 一种形式 派生类拷贝构造函数名 对象p的引用 基类构造函数名 参数列表 如 student student student p stud p num p name p sex 注意 参数形式 是对象的引用 我们
  • java基础题实现猴子吃桃的问题

    一只猴子一天摘了许多桃子 第一天吃了一半 然后忍不住又吃了一个 第二天又吃了一半 再加上一个 后面每天都是这样吃 到第10天的时候 小猴子发现只有一个桃子了 问小猴子第一天共摘了多少个桃子 问题分析 第十天时只剩下一个桃子 又知道猴子每次吃
  • 【VB技巧】VB静态调用与动态调用dll详解

    摘 自 http lcx cc i 489 请注意 在以下语法格式中 请注意 函数名 的 大小写 静态与动态比较 静态调用简单 动态调用麻烦 静态调用占用资源多 动态调用占用资源少 正所谓鱼和熊掌不可兼得 静态调用定义 就是常用的申明API
  • Smart-tools 免费的开发工具箱

    Smart tools 免费的开发工具箱 背景介绍 作为一名开发人员 我希望有一款轻便且简洁的工具能够帮助自己快速解决一些日常开发的麻烦 因此 我创建了此网站 Smart tools 其目标是帮助开发人员提高工作效率 目前 本网站已支持20
  • FPGA--synplify+vivado综合 时钟路径优化Error

    项目场景 目前在做FPGA验证 使用到了synplify vivado的流程 rtl使用了synopsys的ip vivado似乎不能直接使用 而且vivado读取rtl文件时间也很长 需要3 4个小时才能读取完 主要是工程有点大 源文件比