STM32通用定时器实现pwm输出、输入捕获

2023-05-16

简介

以stm32f103rct6为例,下面说明如何使用通用定时器实现pwm输出

详细

stm32的定时器有多种类型,有RTC、基本定时器、通用定时器、高级定时器。下面我们选择通用定时器来实现pwm输出功能。

利用比较功能输出pwm

这里我选择TIM2定时器。

第一步:选择哪几个引脚输出pwm信号,这里我选择PA1、PA2,如下图:
123

第二步:使能外设时钟;使能GPIO的时钟,使能TIM2的时钟。

RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2,ENABLE);//时钟使能
RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);//使能GPIOA

第三步:初始化GPIO功能(配置工作模式、io引脚、IO响应速度)

//PA1引脚GPIO初始化
GPIO_InitStruct.GPIO_Pin = GPIO_Pin_1;
GPIO_InitStruct.GPIO_Mode = GPIO_Mode_AF_PP;
GPIO_InitStruct.GPIO_Speed = GPIO_Speed_2MHz;
GPIO_Init(GPIOA, &GPIO_InitStruct);
    
//PA2引脚GPIO初始化
GPIO_InitStruct.GPIO_Pin = GPIO_Pin_2;
GPIO_InitStruct.GPIO_Mode = GPIO_Mode_AF_PP;
GPIO_InitStruct.GPIO_Speed = GPIO_Speed_2MHz;
GPIO_Init(GPIOA, &GPIO_InitStruct);

第四步:初始化timer(配置时钟分频、计数方式、自动重装值、预分频值)

//定时器初始化,时间基准是500微秒
TIM_TimeBaseInitTypeStruct.TIM_ClockDivision = TIM_CKD_DIV1;
TIM_TimeBaseInitTypeStruct.TIM_CounterMode = TIM_CounterMode_Up;//计数方式
TIM_TimeBaseInitTypeStruct.TIM_Period = arr;//自动装载值
TIM_TimeBaseInitTypeStruct.TIM_Prescaler = psc;//预分频系数
TIM_TimeBaseInit(TIM2, &TIM_TimeBaseInitTypeStruct);

第五步:初始化OC(OUTPUT COMPARE)(配置输出比较的模式、输出是否使能、输出的极性、比较值)

//channel2 初始化输出比较参数
TIM_OCInitStruct.TIM_OCMode = TIM_OCMode_PWM1;
TIM_OCInitStruct.TIM_OutputState = TIM_OutputState_Enable;
TIM_OCInitStruct.TIM_OCPolarity = TIM_OCPolarity_High;  //输出极性高电平有效
TIM_OCInitStruct.TIM_Pulse = s_timer2_duty; //计时500ms后翻转一次
TIM_OC2Init(TIM2, &TIM_OCInitStruct);

//channel3 初始化输出比较参数
TIM_OCInitStruct.TIM_OCMode = TIM_OCMode_PWM2;
TIM_OCInitStruct.TIM_OutputState = TIM_OutputState_Enable;
TIM_OCInitStruct.TIM_OCPolarity = TIM_OCPolarity_High;  //输出极性高电平有效
TIM_OCInitStruct.TIM_Pulse = s_timer2_duty; //计时500ms后翻转一次
TIM_OC3Init(TIM2, &TIM_OCInitStruct);

第六步:配置定时器的中断

TIM_ITConfig(TIM2,TIM_IT_Update|TIM_IT_CC2|TIM_IT_CC3,ENABLE);

第七步:配置MVIC 中断优先级,并使能irq通道

NVIC_InitTypeStruct.NVIC_IRQChannel = TIM2_IRQn;//中断通道 
NVIC_InitTypeStruct.NVIC_IRQChannelCmd = ENABLE;
NVIC_InitTypeStruct.NVIC_IRQChannelPreemptionPriority = 1;
NVIC_InitTypeStruct.NVIC_IRQChannelSubPriority = 0;
NVIC_Init(&NVIC_InitTypeStruct);

最后一步,使能定时器

TIM_Cmd(TIM2,ENABLE);

演示效果如下:
12345
使用软件仿真,利用逻辑分析仪观察波形,发现输出了两个互补pwm信号,占空比都是50%。

利用输入捕获功能测量pwm周期

对于输入捕获功能,我们可以按照以下步骤进行配置:

1、使能定时器及端口时钟,并设置引脚复用器映射和引脚模式等
2、初始化定时器参数,包含自动重装值,分频系数,计数方式等
3、设置通用定时器的输入捕获参数,开启输入捕获功能
4、开启捕获和定时器溢出(更新)中断
5、设置定时器中断优先级,使能定时器中断通道
6、编写定时器中断服务函数
7、使能定时器

以stm32f103rct6为例,这里我选择TIM3定时器的CH1做输入捕获,对应的引脚如下图:
1

核心代码如下:
第一步:使能定时器、gpio的时钟;初始化gpio

RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3,ENABLE);//使能TIM3时钟
RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);//使能GPIOA时钟
//PA3引脚GPIO初始化,用于捕获pwm信号
GPIO_InitStruct.GPIO_Pin = GPIO_Pin_6;
GPIO_InitStruct.GPIO_Mode = GPIO_Mode_IN_FLOATING;
GPIO_InitStruct.GPIO_Speed = GPIO_Speed_2MHz;
GPIO_Init(GPIOA, &GPIO_InitStruct);

第二步:初始化定时器

//定时器初始化
TIM_ARRPreloadConfig(TIM3, ENABLE);
TIM_TimeBaseInitTypeStruct.TIM_ClockDivision = TIM_CKD_DIV1;
TIM_TimeBaseInitTypeStruct.TIM_CounterMode = TIM_CounterMode_Up;//计数方式
TIM_TimeBaseInitTypeStruct.TIM_Period = arr;//自动装载值
TIM_TimeBaseInitTypeStruct.TIM_Prescaler = psc;//预分频系数
TIM_TimeBaseInit(TIM3, &TIM_TimeBaseInitTypeStruct);

第三步:设置捕获参数

//channel1 设置捕获参数
TIM_ICInitStruct.TIM_Channel = TIM_Channel_1;
TIM_ICInitStruct.TIM_ICFilter = 0xf;    //采样频率设为0.56MHz,连续采8次,可以滤掉14微秒的干扰
TIM_ICInitStruct.TIM_ICPolarity = TIM_ICPolarity_Rising;  //捕获极性
TIM_ICInitStruct.TIM_ICPrescaler = TIM_ICPSC_DIV1; //每检测到一个有效边沿就捕获一次
TIM_ICInitStruct.TIM_ICSelection = TIM_ICSelection_DirectTI;
TIM_ICInit(TIM3, &TIM_ICInitStruct);

第四步:开启捕获和定时器溢出(更新)中断

//使能定时器的中断
TIM_ITConfig(TIM3,TIM_IT_Update|TIM_IT_CC1,ENABLE);

第五步:设置定时器中断优先级,使能定时器中断通道

NVIC_InitTypeStruct.NVIC_IRQChannel = TIM3_IRQn;//中断通道 
NVIC_InitTypeStruct.NVIC_IRQChannelCmd = ENABLE;
NVIC_InitTypeStruct.NVIC_IRQChannelPreemptionPriority = 1;
NVIC_InitTypeStruct.NVIC_IRQChannelSubPriority = 0;
NVIC_Init(&NVIC_InitTypeStruct);

第六步:编写定时器中断服务函数

void TIM3_IRQHandler(void)
{
	//判断是否为定时器3产生的中断
	if(TIM_GetITStatus(TIM3,TIM_IT_Update)==SET)
	{   
		if(s_tim3_IC_edge == 1)		//一个pwm周期内计数器溢出计数
		{
			s_tim3_exceed++;
		}
		//要手动的清理中断标志位
		TIM_ClearITPendingBit(TIM3,TIM_IT_Update);
	}

    if(TIM_GetITStatus(TIM3,TIM_IT_CC1)==SET)   //捕获中断
    {
        TIM_Cmd(TIM3, DISABLE);    //定时器3失能
        //捕获到上边沿,计数值加一
        s_tim3_IC_edge++;
        if(s_tim3_IC_edge == 1)
        {
            TIM_Cmd(TIM3, DISABLE);     //timer3失能
            TIM_SetCounter(TIM3, 0);    //清除计数器
            
            s_tim3_exceed = 0;             //清除定时器溢出次数
            TIM_Cmd(TIM3, ENABLE);      //timer3使能
        }
        else
        {
            TIM_Cmd(TIM3, DISABLE); //timer3失能
            
            s_tim3_IC_val = TIM_GetCapture1(TIM3);
            s_tim3_IC_edge = 0;
        }

        //要手动的清理中断标志位
        TIM_ClearITPendingBit(TIM3,TIM_IT_CC1);
    }
}

第七步:使能定时器

TIM_Cmd(TIM3, ENABLE);      //timer5使能

主任务负责读取pwm周期并重启定时器(这里通过RTOS周期执行任务实现)

tim.c

volatile uint16_t s_tim3_exceed = 0;   //定时器计数溢出次数
volatile uint8_t s_tim3_IC_edge = 0;  //捕获边沿计数
volatile uint16_t s_tim3_IC_val = 0;   //存放计数器CNT的值

main.c

void vTask1( void *pvParameters ) 
{   
    volatile int i = 0, j = 0;
    portTickType xLastWakeTime;
    xLastWakeTime = xTaskGetTickCount();
    
    /* 和大多数任务一样,本任务也处于一个死循环中 */ 
    for( ;; ) 
    {
        uint16_t pwm_period;
        
        pwm_period = timer_get_pwm_period();
        TimerStart(timer3);
        printf("pwm period is %hu ms\n", pwm_period);
        
        //延迟1000ms
        vTaskDelayUntil(&xLastWakeTime, 1000 / portTICK_RATE_MS);
        //vTaskDelay(100 / portTICK_RATE_MS);
    } 
}

读取pwm周期的函数如下:

#define TIM3_ARR    2000	//自动重装值
#define TIM3_TIME_BASE  (72000000/36000)	//timer时基
#define SECONDS_TO_MICROSECONDS 1000000	//单位转换

uint16_t timer_get_pwm_period(void)
{
    uint16_t ret;
    
    ret = (s_tim3_IC_val + s_tim3_exceed*TIM3_ARR)*(SECONDS_TO_MICROSECONDS/TIM3_TIME_BASE);
    ret = ret/1000; //微秒转化为毫秒

    return ret;
}

目前没有硬件环境,后面有时间再补充实测结果。

总结

后面有时间再慢慢添加对timer的其他功能的理解。

附录

通用定时器模块框图:
2

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

STM32通用定时器实现pwm输出、输入捕获 的相关文章

  • 以字符串形式接收数字(uart)

    我正在尝试通过 uart 接收一个包装为字符串的数字 我发送数字 1000 所以我得到 4 个字节 空字符 但是 当我使用 atoi 将数组转换为数字并将整数与 1000 进行比较时 我并不总是得到正确的数字 这是我用于接收号码的中断处理函
  • 136-基于stm32单片机家庭温湿度防漏水系统设计Proteus仿真+源程序

    资料编号 136 一 功能介绍 1 采用stm32单片机 LCD1602显示屏 独立按键 DHT11传感器 蜂鸣器 制作一个基于stm32单片机家庭温湿度防漏水系统设计Proteus仿真 2 通过DHT11传感器检测当前温湿度 并且显示到L
  • rt-thread studio中新建5.0不能用

    文章目录 一 版本对比 二 文件和文件夹打斜杠 在使用RT Thread studio创建新工程5 0版本的时候 结果发现新建完成之后程序不能正常运行 但是创建4 10版本的时候却能运行 那肯定是新版本出现了BUG 一 版本对比 首先对比了
  • Push_back() 导致程序在进入 main() 之前停止

    我正在为我的 STM32F3 Discovery 板使用 C 进行开发 并使用 std deque 作为队列 在尝试调试我的代码 直接在带有 ST link 的设备上或在模拟器中 后 代码最终在 main 中输入我的代码之前在断点处停止 然
  • 匹配 STM32F0 和 zlib 中的 CRC32

    我正在研究运行 Linux 的计算机和 STM32F0 之间的通信链路 我想对我的数据包使用某种错误检测 并且由于 STM32F0 有 CRC32 硬件 并且我在 Linux 上有带有 CRC32 的 zlib 所以我认为在我的项目中使用
  • STM32超声波——HC_SR04

    文章目录 一 超声波图片 二 时序图 三 超声波流程 四 单位换算 五 取余计算 六 换算距离 七 超声波代码 一 超声波图片 测量距离 2cm 400cm 二 时序图 1 以下时序图要先提供一个至少10us的脉冲触发信号 告诉单片机我准备
  • HAL库学习

    CMSIS简介 CMSIS Cortex Microcontroller Software Interface Standard 微控制器软件接口标准 由ARM和其合作的芯片厂商 ST NXP 软件工具厂商 KEIL IAR 共同制定的标准
  • SHT10温湿度传感器——STM32驱动

    实验效果 硬件外观 接线 3 3V供电 IIC通讯 代码获取 查看下方 END
  • 跟着野火学FreeRTOS:第一段(任务定义,切换以及临界段)

    在裸机系统中 系统的主体就是 C P U CPU CP U 按照预先设定的程序逻辑在 m a i n
  • 跟着野火学FreeRTOS:第一段(任务定义,切换以及临界段)

    在裸机系统中 系统的主体就是 C P U CPU CP U 按照预先设定的程序逻辑在 m a i n
  • 擦除后无法写入闪存

    所以我不能在擦除后直接写入内部闪存 如果写操作之前没有擦除操作 那么我可以 有什么想法吗 编程函数返回 成功写入 值 但查看内存时 没有写入任何数据 这是代码 uint32 t pageAddress 0x08008000 uint16 t
  • 串口通讯第一次发送数据多了一字节

    先初始化IO再初始化串口 导致第一次发送时 多出一个字节数据 优化方案 先初始化串口再初始化IO 即可正常通讯
  • STM32 暂停调试器时冻结外设

    当到达断点或用户暂停代码执行时 调试器可以停止 Cortex 中代码的执行 但是 当皮质停止在暂停状态下执行代码时 调试器是否会冻结其他外设 例如 DMA UART 和定时器 您只能保留时间 r 取决于外围设备 我在进入主函数时调用以下代码
  • 无法使用 OpenOCD 找到脚本文件

    我正在尝试按照本教程将 OpenOCD 与我的 ST 发现板一起使用 https japaric github io discovery README html https japaric github io discovery READM
  • STM32 Nucleo 上的上升沿中断多次触发

    我正在使用 STM32 NUCLEO F401RE 微控制器板 我有一个扬声器 经过编程 当向上 向下推操纵杆时 可以按设定的量改变频率 我的问题是 有时 通常 当向上 向下推动操纵杆时 频率会增加 减少多次 这意味着 ISR 正在执行多次
  • STM32内部时钟

    我对 STM32F7 设备 意法半导体的 Cortex M7 微控制器 上的时钟系统感到困惑 参考手册没有充分阐明这些时钟之间的差异 SYSCLK HCLK FCLK 参考手册中阅读章节 gt RCC 为 Cortex 系统定时器 SysT
  • PWM DMA 到整个 GPIO

    我有一个 STM32F4 我想对一个已与掩码进行 或 运算的 GPIO 端口进行 PWM 处理 所以 也许我们想要 PWM0b00100010一段时间为 200khz 但随后 10khz 后 我们现在想要 PWM0b00010001 然后
  • 使用 STM32F0 ADC 单独读取不同的输入

    STM32F072CBU 微控制器 我有多个 ADC 输入 并且希望单独读取它们 STMcubeMX 生成样板代码 假设我希望按顺序读取所有输入 但我无法弄清楚如何纠正这个问题 这篇博文 http blog koepi info 2015
  • STM32 传输结束时,循环 DMA 外设到存储器的行为如何?

    我想问一下 在以下情况下 STM32 中的 DMA SPI rx 会如何表现 我有一个指定的 例如 96 字节数组 名为 A 用于存储从 SPI 接收到的数据 我打开循环 SPI DMA 它对每个字节进行操作 配置为 96 字节 是否有可能
  • GCC 变量映射和 MISRA-C

    我主要知道两种使用 GCC 声明内存映射寄存器的方法 有许多变体 使用双字段 每个外设的数据结构等 要么使用初始化为正确地址的指针 例如volatile uint32 t pMyRegister uint32 t 0xDEADBEEFUL

随机推荐

  • 使用logstash迁移ES1.x数据到ES7.x

    使用logstash迁移ES1 x数据到ES7 x tar span class token operator span zxvf logstash span class token operator span span class tok
  • ES创建索引模板设置分片和副本数及时间格式问题

    创建索引模板设置分片和副本及时间格式问题 一 创建索引模板 PUT template event template default span class token punctuation span span class token str
  • es7 扩展词库

    elasticsearch 7 x x 扩展ik分词词库 支持mysql 热部署 https blog csdn net laow1314 article details 124236262 Elasticsearch 7 X Ik源码解读
  • es相关参数优化

    es相关参数优化 生产环境 jvm参数资源可以调整大一些 xff0c 系统的内存的一半给ES服务 xff0c 最大不超过32G xff0c 剩下的资源留给底层Lucene缓冲 xff1b 独立部署ES服务 xff0c 可以使用64G内存的节
  • ROS中rqt_graph报错节点图空白问题

    ROS中rqt graph报错节点图空白问题 我的环境配置 xff1a 1 VM ware虚拟机安装的ubuntu系统20 04 2 ROS版本是noetic 问题如下 xff1a 最近在学习ROS过程中遇到了rqt graph报错的问题
  • ROS中Gazebo无响应解决办法

    标题ROS中Gazebo无响应解决办法 在终端输入gazebo没有反映 xff0c 进行下面两句操作 首先输入下面的代码 gazebo span class token operator span verbose 观察到报错显示原因为有另一
  • 快速平方根倒数算法深度理解

    快速平方根倒数算法深度理解 快速平方根倒数算法是什么 xff1f 简单来说这个算法避开了开方和除法运算快速实现了 y 61 1 x
  • Ubuntu 14.04安装openwrt

    OpenWRT 编译环境搭建 配置编译环境 必须使用非root用户 xff0c ArchLinux需要创建新用户 安装依赖包 Ubuntu 14 04 必选 apt get install asciidoc bash bc binutils
  • MDK debug时出现*** error 65: access violation at

    简介 本文针对的是访问内存权限的问题 xff0c 其他问题暂时不讨论 问题描述 使用mdk arm调试keil工程的时候遇到错误 error 65 access violation at 0xE0042004 no write permis
  • CreateSemaphore函数

    创建或打开命名或未命名的信号量对象 要指定对象的访问掩码 xff0c 请使用CreateSemaphoreEx函数 语法 HANDLE WINAPI CreateSemaphore xff08 In opt LPSECURITY ATTRI
  • 什么是underlay和overlay?

    1 什么是underlay和overlay xff1f 常规解释 xff1a underlay 现实的物理基础层网络设备 数据中心基础转发架构的网络 以太网最初设计的时候就是一个分布式的网络架构 xff0c 没有中心控制节点 xff0c 网
  • 分布式脑裂问题分析

    1 34 脑裂 34 定义 在一个高可用系统中 xff0c 当联系着的节点断开联系时 xff0c 本来为一个整体的系统 xff0c 分裂成两个独立节点 xff0c 两个节点开始争抢共享资源造成系统混乱 数据损坏的现象 xff0c 成为 脑裂
  • idea必备插件01-代码智能补全插件codota

    代码智能补全插件 codota 01 idea插件下载地址 02 codota在线网站 03 codota官方指导 Codota这个插件可以用于代码的智能补全功能 xff0c 它基于百万级github仓库java程序 xff0c 能根据程序
  • 04-spring-boot-resttemplate netty定制使用

    04 spring boot resttemplate netty定制使用 rest template可以使用netty定制的工厂类 Netty4ClientHttpRequestFactory xff0c 完成相关rest接口访问工作 x
  • sshuttle工具简介

    1 sshuttle简介 最近在k8s配置用到shuttle xff0c 只知道公司用它完成远端k8s集群环境网络环境打通环境工作 xff0c 于是决定研究一下它 xff0c 了解这个穷人代理究竟魅力何在 01 github链接 sshut
  • 日志无法打印问题总结

    日志无法打印问题总结 现象 log4j2运行环境可以生成日志 xff0c 但是没有任何打印信息 1 日志无法打印 最近新开发的服务 xff0c k8s容器部署后 xff0c 发现log4j2的日志无法打印 xff0c 定义的日志都生成了相关
  • 元空间过大与intern方法探究

    1 问题 所负责服务需要保存大量字符串 xff0c 通过写入大量数据 xff0c 发现元空间持续变大 xff0c 于是想到之前每位研发的的建议 xff0c 使用intern方法来优化字符串存储 xff0c 于是做了如下的测试 2 测试int
  • Spring Cloud Tencent和alibaba备忘

    1 Spring Cloud Tencent简介 服务注册与发现 Spring Cloud Tencent Polaris Discovery 命名空间服务服务实例 配置中心 Spring Cloud Tencent Polaris Con
  • Java Se 、JavaEE、JavaME区别

    1 Java Se JavaEE JavaME区别 Java SE Java SE xff08 Java Platform xff0c Standard Edition xff09 J2SE 它允许开发和部署在桌面 服务器 嵌入式环境和实时
  • STM32通用定时器实现pwm输出、输入捕获

    简介 以stm32f103rct6为例 xff0c 下面说明如何使用通用定时器实现pwm输出 详细 stm32的定时器有多种类型 xff0c 有RTC 基本定时器 通用定时器 高级定时器 下面我们选择通用定时器来实现pwm输出功能 利用比较