Modelsim 对 SV 的支持

2023-12-24

我目前正在使用 modelsim SE 5.8e。它不支持SystemVerilog。我需要使用 SystemVerilog 来设计和验证我的项目。您知道哪个版本的 Modelsim 能够很好地支持 sytemverilog 的设计和验证子集吗?之前用过VCS,试图找到是否可以使用Modelsim代替VCS进行仿真。

提前致谢!


根据这张桌子 http://model.com/content/compare-modelsim-product-line, ModelSim支持SystemVerilog设计功能,但不支持验证功能。这意味着它可能不支持 SV 的类别、随机化或覆盖特征。

Mentor Graphics 推出最新模拟器平台Questa。这实际上只是 Modelsim 的扩展。 Questa 完全支持 SystemVerilog。如果您拥有(或可以获得)许可证,这就是您想要的。我的经验是,EDA 模拟器以分层方式获得许可,因此某些功能只有在拥有特定许可证的情况下才可用。

Questa 模拟器营销页面位于http://www.mentor.com/products/fv/questa/ http://www.mentor.com/products/fv/questa/

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Modelsim 对 SV 的支持 的相关文章

  • 数字IC验证学习(一)

    一 数据类型 1 logic logic类型只能有一个驱动 使用wire和reg的地方均可使用logic 但如双向总线等有多个驱动的地方 则不可使用logic 2 二值逻辑 对于二值逻辑变量与DUT中的四值逻辑变量连接时 如果DUT中产生了
  • SystemVerilog 验证-测试平台编写指南学习笔记(4):SystemVerlog 面向对象编程OOP

    文章目录 1 为什么 SystemVerilog 需要面向对象编程 2 OOP术语以及与 Verilog 2001 大致对应关系 3 SystemVerilog OOP 中的类 class 3 1 怎么定义类 3 2 在哪里定义类 3 3
  • MCDF实验——Lab3

    Lab3将在Lab2的基础上使用随机约束和环境结构来改进完善实验代码 Lab3中将对generator和initiator之间的数据生成和数据传输的处理进行改进 还将完善何时结束测试 将其主动权交于generator而不再是test组件 在
  • systemverilog中的bind

    最早接触 bind 关键字是在assertion 当中 将assertion 与 dut 进行绑定连接 如下例子 bind cpu fpu props fpu rules 1 a b c cpu 是module 名字 fpu props 是
  • questsim/modelsim 中仿真VIVADO工程的方法,以及调用Xilinx的ROM IP后,导致仿真输出为0问题的解决

    这几天写了个UVM的验证环境 要用questasim来运行 而待测试的DUT是之前用VIVADO做的 就得把VIVADO中的工程移植到quetasim里 其中调用了Xilinx的ROM RAM等IP核 首先是移植VIVADO的工程 参考这篇
  • 【EDA Tools】Spyglass 检查 Verilog 和 SystemVerilog 混合语言及 Lint 检查

    目录 写在前面 读入设计 发现问题并解决 Lint 检查 写在前面 Spyglass可以用于检查混合设计中的语言互操作性和一致性问题 对于设计中包含多种硬件描述语言的情况 Spyglass 能够识别并解析其中的模块及其互连 并对其进行验证
  • AHB VIP Example 的tb_ahb_svt_uvm_basic_ral_sys中关于slave和master接口连接的问题

    AHB 的tb ahb svt uvm basic ral sys中关于slave和master接口连接的问题 这里代码不完整太多没有截完 一 原始使用方法 hdl interconnect中文件的DUT的部分内容是 DUT外面还做了封装
  • ModelSim-Altera 错误

    我正在使用 Ubuntu Linux 14 04 LTS 和 Altera Quartus 15 0 网络版 由于许可错误 我很难模拟我的设计 我正在设计一个 LCD driverVEEK MT友晶科技的液晶触摸屏旋风 IV EP4CE11
  • 如何改变变量赋值的较低索引?

    我想对具有较低索引的变量进行赋值 这就是我想做的 int i logic 63 0 data i someCalculatedNumber data 63 i 8 h0 我知道这不会编译 完成这项任务的最佳方法是什么 如果您希望将 LSB
  • 过渡盖箱未撞击

    我正在从数据总线采样值 因为预期值是不连续的 因此容器没有达到转换覆盖范围 虽然垃圾箱在没有过渡的情况下独立运行 示例 我们想要涵盖地址 W 的值的转换 A W X W Z D 7 0 8 0 covergroup cg with func
  • 计算数组中的个数

    我试图在 Verilog 中计算 4 位二进制数中 1 的数量 但我的输出是意外的 我尝试了几种方法 这是我认为应该有效的方法 但事实并非如此 module ones one in input 3 0 in output 1 0 one a
  • Always_comb 中的 SystemVerilog“if”语句“不是纯粹的组合逻辑”错误

    我很困惑 并且有点沮丧 我花了很多时间在 Modelsim 中研究一些 SystemVerilog 我已经达到了可以在我的硬件上测试它的某个阶段 但是在 Quartus 中编译不成功 我确实知道这可能会发生 但在这种情况下我的错误似乎没有意
  • 简单赋值时不输出期望值

    当我将一些值分配给具有四位的变量时 当我简单地输出该值时 我会得到意想不到的结果 我以前从未见过这个 想知道我是否在语法上做错了什么 module main reg 3 0 x initial begin monitor b x x 001
  • SV 或 UVM 中的正则表达式

    我需要调用哪些函数才能在 Systemverilog UVM 中使用正则表达式 注意 我不是问如何使用正则表达式 只是问方法名称 首先 如果您想使用正则表达式 您需要确保您使用的是与其 DPI 代码一起编译的 UVM 库 即UVM NO D
  • 将枚举转换为逻辑

    考虑以下模块声明 module DFF d q CLK RESET parameter W 2 input W 1 0 d input CLK input RESET output logic W 1 0 q endmodule 当 d 和
  • 如何获取值数组作为 plusargs?

    如何获取值数组作为参数 我需要从命令行获取一组未定义大小的命令 如何将这些参数放入数组或队列中 Eg CMDS READ WRITE READ N WRITE 它应该被带到一个数组中 value plusargs不支持数组 但支持字符串 看
  • 在 Verilog 中判断总线是否包含单个 x 的最佳方法是什么?

    我有一个监控总线的测试台 总线内的一些信号 位 可以是 1 bx 由于多种原因 我需要知道总线内是否有任何信号是 1 bx 如果总线包含任何 x 测试 不用于综合 仅用于模拟目的 的最佳方法是什么 我曾希望我可以使用减少或然后使用 但这似乎
  • 合并关联数组

    假设我有两个关联数组 有没有办法使用连接运算符之类的东西来合并它们 我尝试了这个 但它不起作用 module tb initial begin int a int 1 1 2 2 int b int 3 3 4 4 display a a
  • VHDL - 为什么直接在函数上使用长度属性会产生警告?

    我有一个 VHDL 函数 它返回记录的 std logic vector 表示形式 并且我想要该 std logic vector 的长度 我可以直接在函数上使用长度属性 为什么这会在 ModelSim 中产生警告 我是否会引发一些微妙的问
  • VHDL 计数器错误 (vcom-1576)

    伙计们 我试图用 VHDL 编写一个简单的计数器 但我总是收到此错误 Error C Users usrname dir1 dir2 dir3 counter vhd 22 near rising edge vcom 1576 expect

随机推荐

  • Eclipse 问题视图不再显示错误

    由于某种原因 Eclipse 不再在问题视图中显示 Java 编译错误 它仍然显示警告 这件事发生得很突然 我想不出我所做的任何改变会影响这件事 我正在使用 Maven Integration for Eclipse 插件 但我已经使用了一
  • 警告“‘init()’已弃用”。 【Swift、Ios app、学习模型】

    我正在使用 Swift 制作一个图像分类 iOS 应用程序 当我写作时 guard let model try VNCoreMLModel for SqueezeNet model else return 我收到这个警告 init is d
  • 无法安装psycopg2

    我正在尝试安装 psycopg2 以便可以在 Python 和 Django 中使用 PostgreSQL 我在过去几个小时尝试安装它时遇到了多个错误 并且似乎无法解决最新的错误 当我跑步时 setup py install 在命令控制台中
  • 在获取大量图像下载时,在 Node.js http.get 中包含超时

    这是我用来从 URL 下载图像的代码 http get options function res res on data function data file write data on end function file end cons
  • Haskell 中的面向对象多态性

    所以我看到一些问题问你如何在 Haskell 中进行面向对象编程 比如this https stackoverflow com questions 20184286 object oriented programming in haskel
  • 在 TestCases 中的 setUp 或 setUpClass 中修补装饰器不起作用

    我正在尝试在以下任一期间修补一些功能setUp or setUpClass的方法unittest TestCase子类 给定一个模块patch me not py patch me not py def patch me at print
  • JSON parseError - 将 json 获取为字符串

    我正在尝试从服务获取 json 数据 但是当我使用数据类型为 JSONP 的 ajax 时出现 parseError ajax url url dataType JSONP error function XMLHttpRequest tex
  • 如何使用JPA注释创建连接表?

    我需要使用在我的数据库中创建一个连接表JPA注释 所以结果将是这样的 到目前为止我刚刚实现了 2 个实体 Entity Table name USERS schema ADMIN public class User implements S
  • 收到第一条消息后分离节点子进程

    我正在使用 node js 构建一个系统 用户可以从 Web 界面生成工作进程 但我在组合系统的这两个标准时遇到了困难 Web服务器进程和工作进程应该独立运行 这样如果Web服务器崩溃 工作进程不会受到影响 母进程应该能够从工作进程接收至少
  • 请求客户证书

    我看到很多关于此的未解决的问题 显然很多开发者都已经得到了past http forums asp net t 1356598 aspx Need 20to 20integrate 20CAC 20Card 20Authentication
  • RxJS 观察一个对象

    我是 RxJS 新手 所以我对新手问题表示歉意 我有一个本地 javascript 对象定义为 model user firstName John lastName Smith 我将每个属性绑定到一个输入控件 用户可以在其中更改值 我希望能
  • Firebase Android SDK 导致 Gradle 错误(没有错误原因)

    我正在尝试在 Android Studio 项目中使用 Firebase 但它给了我一个空的 Gradle 错误 我尝试将 Firebase SDK 与 Gradle 结合使用 并将 jar 放入我的 libs 文件夹中 但都给出了相同的空
  • glcolor3b 和 glcolor3ub 之间的区别

    我想知道两者之间有什么区别glcolor3b and glcolor3ub 看起来glcolor3b 255 0 0 不会将颜色设置为红色 而是将其设置为黑色 glcolor3b 48 160 64 将其设置为深紫色 而不是绿色 glcol
  • 为什么在 gcc 的模板函数中使用 boost::tuple 的 .get 不起作用?

    当尝试移植一些代码在 Linux 中编译时 我遇到了特殊的编译错误 通过搜索代码库 我终于设法将其归结为以下代码 5 include and using statements 6 template
  • JSP 中上传表单处理中使用 enctype="multipart/form-data" 时 request.getParameter() 返回 null

    我想使用 enctype multipart form data 上传多个文件以及一些注释 文件已上传 但 request getParameter 对注释返回 null 我应该怎么办 提前致谢 Use commons io and com
  • 从 T-SQL Server 数据库中的字段中删除最后 N 个字符

    我有超过 400 万行的表 并且一列中的数据意外多于所需的数据 例如代替ABC有ABC DEFG 如何使用 TSQL 删除这 N 个符号 请注意 我想从数据库中删除这些字符 而不仅仅是选择子字符串 谢谢 UPDATE mytable SET
  • Javascript setInterval 执行时间小于 1 毫秒?

    我有一个示例 比较使用 for 循环和使用 setInerval 的简单计数器循环的性能 执行时间差异巨大 如下所示 var i 0 var i2 0 var int1 null console time for loop do i whi
  • iOS7下Cocos2d游戏不隐藏状态栏

    如何在cocos2d iOS7游戏中隐藏状态栏 iOS6及以下版本中没有观察到此问题 UIApplication sharedApplication setStatusBarHidden YES iOS7 有什么替代方案吗 很简单 在 in
  • C++ std::vector 指针删除和分段错误

    我有一个指向类的指针向量 我需要调用它们的析构函数并释放它们的内存 由于它们是指针向量 vector clear 不能完成这项工作 所以我继续像这样手动完成 void Population clearPool std vector
  • Modelsim 对 SV 的支持

    我目前正在使用 modelsim SE 5 8e 它不支持SystemVerilog 我需要使用 SystemVerilog 来设计和验证我的项目 您知道哪个版本的 Modelsim 能够很好地支持 sytemverilog 的设计和验证子