ModelSim-Altera 错误

2023-11-21

我正在使用 Ubuntu Linux 14.04 LTS 和 Altera Quartus 15.0 网络版,由于许可错误,我很难模拟我的设计。我正在设计一个 LCD_driverVEEK-MT友晶科技的液晶触摸屏旋风 IV EP4CE115由阿尔特拉.

老实说,我对像这样的模拟软件没有太多经验ModelSim-Altera但我知道如何使用.vwf文件并用它们进行模拟,我也知道如何使用 signaltap 逻辑分析仪。创建后美国大学计划.vwf文件,我编译项目,我按运行功能模拟我得到一个包含以下内容的窗口:

正在确定 ModelSim 可执行文件的位置...

使用:/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin

要指定 ModelSim 可执行目录,请选择:工具 -> 选项 -> EDA 工具选项 注意:如果 ModelSim-Altera 和 ModelSim 可执行文件均可用,则将使用 ModelSim-Altera。

**** 生成 ModelSim 测试平台 ****

quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog --write_settings_files=off test5 -c test5 --vector_source="/path/to/Altera/projects/test/5/test5.vwf" --testbench_file="/path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt"

ld.so 检测到不一致:dl-close.c: 762: _dl_close: 断言 `map->l_init_called' 失败!信息: ****************************************************** ******************信息:运行 Quartus II 64 位 EDA 网表编写器信息:版本 15.0.0 Build 145 2015 年 4 月 22 日 SJ 网络版信息:版权所有 (C) 1991-2015 阿尔特拉公司。版权所有。信息:您对 Altera 的使用 公司的设计工具、逻辑功能信息:等 软件和工具及其 AMPP 合作伙伴逻辑信息:功能、 以及任何上述信息的任何输出文件:(包括 设备编程或模拟文件),以及任何信息:相关 文档或信息明确受信息: Altera 程序许可信息的条款和条件: 订阅协议、Altera Quartus II 许可协议、
信息:Altera MegaCore 功能许可协议,或其他
信息:适用的许可协议,包括但不限于
信息:您的使用仅用于编程逻辑的目的
信息:由 Altera 制造并由 Altera 或其子公司销售的器件
信息:授权经销商。请参阅适用的
信息:了解更多详情的协议。信息:处理开始:周日 2015 年 8 月 9 日 22:18:46 信息:命令:quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog --write_settings_files=off test5 -c test5 --vector_source=/path/to/Altera/projects/test/5/test5.vwf --testbench_file=/path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vtWarning (201007):在设计中找不到端口“h_counter”警告(201007):不能 在设计中查找端口“h_counter[10]”警告(201007):找不到端口 designWarning (201007) 中的“h_counter[9]”:找不到端口 designWarning (201007) 中的“h_counter[8]”:找不到端口 designWarning (201007) 中的“h_counter[7]”:找不到端口 designWarning (201007) 中的“h_counter[6]”:找不到端口 designWarning (201007) 中的“h_counter[5]”:找不到端口 designWarning (201007) 中的“h_counter[4]”:找不到端口 designWarning (201007) 中的“h_counter[3]”:找不到端口 designWarning (201007) 中的“h_counter[2]”:找不到端口 designWarning (201007) 中的“h_counter[1]”:找不到端口 designWarning (201007) 中的“h_counter[0]”:找不到端口“v_counter” 在设计警告(201007)中:找不到端口“v_counter[9]” designWarning (201007): 找不到端口“v_counter[8]” designWarning (201007): 找不到端口“v_counter[7]” designWarning (201007): 找不到端口“v_counter[6]” designWarning (201007): 找不到端口“v_counter[5]” designWarning (201007): 找不到端口“v_counter[4]” designWarning (201007): 找不到端口“v_counter[3]” designWarning (201007): 找不到端口“v_counter[2]” designWarning (201007): 找不到端口“v_counter[1]” designWarning (201007): 找不到端口“v_counter[0]” designWarning (201007):在 designWarning 中找不到端口“HSD_s” (201007):在designInfo中找不到端口“VSD_s”(201000):已生成 Verilog 测试台文件 /path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt 对于仿真信息:Quartus II 64 位 EDA Netlist Writer 是 成功的。 0 个错误,25 个警告信息:峰值虚拟内存:1088 兆字节 信息:处理结束:2015 年 8 月 9 日星期日 22:18:47 信息: 已用时间:00:00:01 信息:CPU 总时间(在所有处理器上): 00:00:01 成功完成。

成功完成。

**** 生成功能仿真网表 ****

quartus_eda --write_settings_files=off --function=on --flatten_buses=off --simulation --tool=modelsim_oem --format=verilog --output_directory="/path/to/Altera/projects/test/5/simulation/qsim/" 测试5 -c 测试5

ld.so 检测到不一致:dl-close.c: 762: _dl_close: 断言 `map->l_init_called' 失败!信息: ****************************************************** ******************信息:运行 Quartus II 64 位 EDA 网表编写器信息:版本 15.0.0 Build 145 2015 年 4 月 22 日 SJ 网络版信息:版权所有 (C) 1991-2015 阿尔特拉公司。版权所有。信息:您对 Altera 的使用 公司的设计工具、逻辑功能信息:等 软件和工具及其 AMPP 合作伙伴逻辑信息:功能、 以及任何上述信息的任何输出文件:(包括 设备编程或模拟文件),以及任何信息:相关 文档或信息明确受信息: Altera 程序许可信息的条款和条件: 订阅协议、Altera Quartus II 许可协议、
信息:Altera MegaCore 功能许可协议,或其他
信息:适用的许可协议,包括但不限于
信息:您的使用仅用于编程逻辑的目的
信息:由 Altera 制造并由 Altera 或其子公司销售的器件
信息:授权经销商。请参阅适用的
信息:了解更多详情的协议。信息:处理开始:周日 2015 年 8 月 9 日 22:18:53 信息:命令:quartus_eda --write_settings_files=off --function=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/path/to/Altera/projects/test/5/simulation/qsim / test5 -c test5Info(204019):在文件夹中生成文件test5.vo “/path/to/Altera/projects/test/5/simulation/qsim//” EDA 仿真工具信息:Quartus II 64-Bit EDA Netlist Writer was 成功的。 0 个错误,0 个警告信息:峰值虚拟内存:1093 兆字节 信息:处理结束:2015 年 8 月 9 日星期日 22:18:55 信息: 已用时间:00:00:02 信息:CPU 总时间(在所有处理器上): 00:00:01 成功完成。

**** 生成 ModelSim .do 脚本 ****

/path/to/Altera/projects/test/5/simulation/qsim/test5.do 生成的。

成功完成。

**** 运行 ModelSim 仿真 ****

/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/vsim -c -do test5.do

/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/../linux/vish: 加载共享库时出错:libXft.so.2:无法打开共享 对象文件:没有这样的文件或目录错误。

任何帮助表示赞赏。


尤里卡!我用谷歌搜索了以下文字:error while loading shared libraries: libXft.so.2: cannot open shared object file: No such file or directory Error.我发现了(感谢Qiu以及)我需要为 ModelSim-Altera 软件使用的 64 位操作系统安装 32 位软件包。以下是输入 Ubuntu 终端的正确命令:

sudo apt-get install libxft2 libxft2:i386 lib32ncurses5

问题解决了!

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

ModelSim-Altera 错误 的相关文章

  • 选择fasta文件中氨基酸超过300个且“C”出现至少4次的序列

    我有一个包含蛋白质序列的 fasta 文件 我想选择超过 300 个氨基酸且半胱氨酸 C 氨基酸出现超过 4 次的序列 我使用此命令来选择具有超过 300 个 aa 的序列 cat 72hDOWN fasta fasta bioawk c
  • 如何将一个文本文件拆分为多个 *.txt 文件?

    我有一个文本文件file txt 12 MB 包含 something1 something2 something3 something4 有没有办法分开file txt分成 12 个 txt 文件 比方说file2 txt file3 t
  • Linux 内核使用的设备树文件 (dtb) 可视化工具? [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我正在寻找一个可以图形化表示Linux内核中使用的硬件设备树的工具 我正在尝试了解特定 Arm 芯片组
  • 任何退出 bash 脚本但不退出终端的方法

    当我使用exitshell 脚本中的命令 该脚本将终止终端 提示符 有什么方法可以终止脚本然后停留在终端中吗 我的剧本run sh预计通过直接获取或从另一个脚本获取来执行 编辑 更具体地说 有两个脚本run2 sh as run sh ec
  • 对于任何真实数据集,数据压缩比的最小可能值是多少

    我在写信ZLIB类似于嵌入式硬件压缩器的 API 它使用 deflate 算法来压缩给定的输入流 在进一步讨论之前 我想解释一下数据压缩率 数据压缩率定义为未压缩大小与压缩大小之间的比率 压缩比通常大于一 这意味着压缩数据通常比未压缩数据小
  • 如何在C(Linux utf8终端)中打印“盒子抽屉”Unicode字符?

    我正在尝试显示 方框图范围 2500 257F 中的 Unicode 字符 它应该是标准 utf8 Unicode 标准 版本 6 2 我根本做不到 我首先尝试使用旧的 ASCII 字符 但 Linux 终端以 utf8 显示 并且没有显示
  • 为什么此 NASM 代码会打印我的环境变量?

    本学期我刚刚完成计算机体系结构课程 除其他外 我们一直在涉足 MIPS 汇编并在 MARS 模拟器中运行它 今天 出于好奇 我开始在我的 Ubuntu 机器上摆弄 NASM 基本上只是将教程中的内容拼凑起来 并感受一下 NASM 与 MIP
  • BASH:输入期间按 Ctrl+C 会中断当前终端

    我的 Bash 版本是 GNU bash version 4 3 11 1 release x86 64 pc linux gnu 我有一段这样的代码 while true do echo n Set password read s pas
  • 如何从 C++ 程序中重新启动 Linux?

    我有一个 Qt 4 GUI 我需要在下拉菜单中提供一个选项 允许用户选择重新启动计算机 我意识到这对于以其他方式重新启动计算机的能力来说似乎是多余的 但选择需要保留在那里 我尝试使用 system 来调用以下内容 suid root she
  • Python 3.4.3 subprocess.Popen 在没有管道的情况下获取命令的输出?

    我试图将命令的输出分配给变量 而不让命令认为它正在通过管道传输 原因是 如果正在通过管道传输 则相关命令会给出未格式化的文本作为输出 但如果从终端运行 则会给出颜色格式化的文本 我需要获取这种颜色格式的文本 到目前为止我已经尝试了一些事情
  • 在汇编中使用 printf 会导致管道传输时输出为空,但可以在终端上使用

    无输出 https stackoverflow com questions 54507957 printf call from assembly do not print to stdout即使在终端上 当输出不包含换行符时也有相同的原因
  • grep 排除文件的数组参数

    我想从我的文件中排除一些文件grep命令 为此我使用参数 exclude excluded file ext 为了更容易阅读 我想使用包含排除文件的 bash 数组 EXCLUDED FILES excluded file ext 然后将
  • awk 在循环中使用时不打印任何内容[重复]

    这个问题在这里已经有答案了 我有一堆使用 file 1 a 1 txt 格式的文件 如下所示 A 1 B 2 C 3 D 4 并使用以下命令添加包含每个文件名称的新列 awk print FILENAME NF t 0 file 1 a 1
  • ssh 连接超时

    我无法在 git 中 ssh 到 github bitbucket 或 gitlab 我通常会收到以下错误消息 如何避免它 输出 ssh T email protected cdn cgi l email protection i ssh
  • 为什么 Linux 原始套接字的 RX 环大小限制为 4GB?

    背景 我试图mmap 我的原始套接字的 RX 环形缓冲区64 bitLinux 应用程序 我的环由 4096 个块组成 每个块大小为 1MB 总共 4GB 请注意 每个 1MB 块中可以有许多帧 如果您好奇 请参阅此文档了解背景信息 htt
  • 仅使用containerd(不使用Docker)修剪容器镜像

    如果我刚刚containerd安装在 Linux 系统上 即 Docker 是not安装 如何删除未使用的容器映像以节省磁盘空间 Docker 就是这么方便docker system prune https docs docker com
  • 相当于Linux中的导入库

    在 Windows C 中 当您想要链接 DLL 时 您必须提供导入库 但是在 GNU 构建系统中 当您想要链接 so 文件 相当于 dll 时 您就不需要链接 为什么是这样 是否有等效的 Windows 导入库 注意 我不会谈论在 Win
  • 为什么 Linux 没有 DirectX API?

    在考虑现代显卡的 Windows 系统上 DirectX API 的驱动程序端实现时 我想知道为什么此实现在非 Windows 系统 尤其是 Linux 上不可用 由于明显缺乏此功能 我只能假设有一个我无视的充分理由 但在我的原始理解中 我
  • 适用于 Linux 的轻量级 IDE [关闭]

    就目前情况而言 这个问题不太适合我们的问答形式 我们希望答案得到事实 参考资料或专业知识的支持 但这个问题可能会引发辩论 争论 民意调查或扩展讨论 如果您觉得这个问题可以改进并可能重新开放 访问帮助中心 help reopen questi
  • linux下无法创建僵尸进程

    嗯 我有一个奇怪的问题 我无法在我的项目中创建僵尸进程 但我可以在其他文件中创建僵尸进程 有简单的说明 int main if fork 0 printf Some instructions n else sleep 10 wait 0 r

随机推荐

  • 如何访问作为 RMarkdown 文档中参数传递的文件中的数据?

    遵循 RMarkdown 的最后一个示例参数化报告页面 我正在尝试使用Shiny界面选择我的输入文件 其中包含以下代码YAML标头 params data input file label Input dataset value myDat
  • 模拟 DbContext.Set()?

    我们首先使用 EF 代码 并为我们的销售数据库提供数据上下文 此外 我们还有一个类位于数据上下文之上并执行一些基本的 CRUD 操作 例如 我们有以下函数 public static T Create
  • cv2.VideoCapture.read() 在 time.sleep() 之后获取旧帧

    我尝试用 Python 捕捉 立体 图像opencv和两个摄像头 因此每 5 秒应保存一张图像 但这里的问题是保存了旧框架 缩小后的代码如下 cap cv2 VideoCapture 0 for i in range 20 time sle
  • python导入站点失败

    当我执行python脚本时 出现这个问题 import site failed use v for traceback 所以我再次尝试使用 v 选项 我可以得到这些消息 import site failed traceback Traceb
  • Webpack 2:如何排除除以下之外的所有node_modules

    我需要让 babel 运行 node modules identicons 但是我仍然想排除所有其他包 原因是标识符包正在使用模板字符串并在运行时中断 webpack p 有问题的字符串 node modules identicons in
  • Go并发和通道混乱

    我是 Go 新手 在理解并发和通道方面遇到问题 package main import fmt func display msg string c chan bool fmt Println display first message ms
  • 如何为 Karate API 中的所有功能文件设置全局标头

    我需要发布一个请求来获取授权令牌并将其包含在所有后续测试请求的标头中 该令牌每次都会更改 但只要我继续发送请求 它就对整个测试会话有效 在每个功能文件中 我可以调用另一个功能文件来获取此令牌 但我不想对每个功能文件都这样做 我只想在测试开始
  • Visual Studio 不断要求将项目文件另存为

    我正在使用 VS 2010 最近我移动了一些文件并更改了路径等 该解决方案仍然可以正确编译 并且所有文件都可以毫无错误地加载 编译 但是几乎每次我在更改后进行编译时 它都会显示 另存为 对话框 并要求我保存其中一个项目 如果我尝试这样做 给
  • 使用pycharm调试,如何单步进入项目,而不进入django库

    想想这个场景 我调试 Django 项目并单步执行代码 进出 调试器有时会进入 Django 库或其他外部库 有谁知道如何防止调试器输入外部代码 或者至少迈出 大 一步让调试器返回到项目代码 有谁知道如何防止调试器输入外部代码 是的 德米特
  • Restangular 是否支持 withCredentials 选项和 cors 请求的其他选项?

    我正在谷歌搜索 但没有找到任何线索 矩形是否支持凭证选项以及 cors 请求的其他选项 我的意思是我可以在 cors 调用中使用它而没有任何问题吗 你能帮我吗 是的 它确实 您可以通过使用来设置它们setDefaultHttpFields
  • 不同 Logback 附加程序的特定于包的日志记录级别

    我有这个简单的 Logback 配置文件 其中包含两个附加程序和一些基于包名称的自定义日志记录级别
  • 点符号与 $elemMatch

    我有一个 unitScores 集合 其中每个文档都有一个 id 和一个文档数组 如下所示 id ObjectId 52134edd5b1c2bb503000001 scores userId ObjectId 5212bf3869bf35
  • PHPStorm 中是否可以实现 Ruby 语法高亮显示?

    I found this但老实说不确定如何安装它或它是否适用 谢谢 您链接的 Ruby 插件仅为 IntelliJ IDEA Ultimate 设计 它不适用于 PhpStorm 看这个答案更多细节 但是 可以使用 PhpStorm 中的
  • 在循环之前或循环中声明变量之间的区别?

    我一直想知道 一般来说 在循环之前声明一个一次性变量 而不是在循环内重复声明 是否会产生任何 性能 差异 A 完全没有意义 Java 中的示例 a 循环前声明 double intermediateResult for int i 0 i
  • 确定 GAM 平滑对象的导数

    我有一个非常简单的时间序列数据集 由单个变量的年平均值 AVERAGE 组成 我希望研究时间序列 趋势 分量的变化率 一阶导数 和加速度 二阶导数 以及相关的标准误差 我使用 MGCV 的 GAM 和 PREDICT 函数获得了 趋势 如下
  • 核心数据内存使用和内存警告

    我有这个问题 我在核心数据中有一个图像数据库 我获取所有图像 大约 80MB 并放入 NSMutableArray 中 对象被正确错误 NSArray fetchResults self managedObjectContext execu
  • Unity PerRequestLifetimeManager 在不同请求中重用对象

    我已经为我们的项目设置了 Unity 的依赖注入 该项目本身是一个同时使用 MVC 和 Web API 的 ASP NET 应用程序 对于数据库上下文 我正在使用PerRequestLifetimeManager 这样做是为了使业务逻辑的不
  • wpf - 我可以在 wpf 中使用 System.Drawing 吗?

    我正在将图像保存在数据库中 但是如何从数据库中检索该图像 当我尝试使用system drawing 它显示错误 一些人说我不能在wpf中使用system drwaing 甚至不能使用dll文件 我的代码是 private void btnS
  • 子 pom 中存在重复的artifactId

    我希望父 pom 为众多子 pom 定义一些要继承的属性 但是 当我尝试在父 pom 中的这些属性之一中使用 artifactId 时 它会在子项的有效 pom 中重复 下面是非常基本的示例 假设我拥有 poms 所需的所有有效字段 gro
  • ModelSim-Altera 错误

    我正在使用 Ubuntu Linux 14 04 LTS 和 Altera Quartus 15 0 网络版 由于许可错误 我很难模拟我的设计 我正在设计一个 LCD driverVEEK MT友晶科技的液晶触摸屏旋风 IV EP4CE11