打印存储在 reg 类型变量中的有符号整数值

2023-11-25

如何打印存储在 8 位寄存器中的有符号整数值,声明为:

reg [7:0] acc;

Using:

$display("acc : %d", acc)

它打印无符号值。

正确的语法是什么$display功能?


如果您声明reg as signed, $display将显示减号:

module tb;

reg signed [7:0] acc;

initial begin
    acc = 8'hf0;
    $display("acc : %d", acc);
end

endmodule

打印出:

acc :         -16
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

打印存储在 reg 类型变量中的有符号整数值 的相关文章

  • 在断言中使用“sequence.triggered”时重置感知

    我有一些断言使用triggered序列的性质 这对于检查 当 X 发生时 Y 一定在过去的某个时间发生 形式的属性很有用 让我们举一个简单的例子 给定三个信号 a b and c c仅允许在以下情况下走高 a3 个周期前为高 并且b2 个周
  • Verilog 最佳实践 - 递增变量

    我绝不是 Verilog 专家 我想知道是否有人知道这些增加值的方法中哪一种更好 抱歉 如果这个问题太简单了 Way A 在组合逻辑块中 可能在状态机中 some condition count next count 1 然后在一个连续块中
  • 连接分层模块:SystemVerilog 中的结构与接口

    在 SystemVerilog 中 分层模块可以通过简单数据类型 复杂数据类型 结构 联合等 或接口进行连接 我感兴趣的功能是将两个模块之间的所有信号聚合到一个地方 这简化了代码的维护 例如 在下面的例子中 更改 s point 的定义而不
  • Verilog:添加寄存器的各个位(组合逻辑,寄存器宽度可参数化)

    我正在尝试想出一种方法来添加寄存器的各个位 例如 if regA 111000 then regB 3 位的总和regA 1 Verilog或SystemVerilog中是否有可以直接使用的可综合函数 运算符来执行此操作 如果不是 那么问题
  • UIO 设备上的 mmap EINVAL 错误

    在尝试使用 UIO 而不是直接映射后 我在 Xilinx Zynq 上映射物理内存时遇到问题 dev mem 虽然计划是以普通用户身份运行应用程序 而不是root这仍在运行root 显然 第一个映射成功 其余映射到同一个文件描述符12 de
  • 如何获取值数组作为 plusargs?

    如何获取值数组作为参数 我需要从命令行获取一组未定义大小的命令 如何将这些参数放入数组或队列中 Eg CMDS READ WRITE READ N WRITE 它应该被带到一个数组中 value plusargs不支持数组 但支持字符串 看
  • Verilog 错误:必须连接到结构网络表达式

    我收到错误 output or inout port Qout must be connected to a structural net expression 我评论了下面代码中发生错误的行 代码被修剪 压缩 我搜索了答案 似乎我无法将输
  • 从测试台访问 uvm_config_db 的最佳方式?

    我想在我的顶级测试平台中创建一个时钟 其周期可以通过测试进行控制 我所做的是将周期设置到 uvm config db 中并将其返回到测试台中 我必须输入 1 以确保构建阶段已完成 否则 get 返回错误值 module testbench
  • 我们可以在 C 或 SystemVerilog 中使用 ifdef MACROS 中的条件吗?

    我想要那样的东西 ifdef N O gt N I define GREATER 1 else define LESSER 1 endif 但做不到 有什么解决方案或阅读吗 我很努力地想要做到这一点 但是却做不到 Verilog 不提供这样
  • 在 Mac OS X 10.6.8 上用什么来编译和模拟 Verilog 程序?

    作为教学大纲的一部分 我需要模拟 Verilog 程序 但是 我的大学使用 Xilinx ISE 但它不适用于 Mac 因此 请帮助我提供最好的软件以及有关如何安装和使用它们的一些详细步骤 你可以尝试伊卡洛斯 Verilog http iv
  • 向左旋转 verilog 大小写

    我的任务是用verilog编写一个16位ALU 当我做需要旋转操作数和进行2的补码加法和减法的部分时 我发现了困难 我知道如何用纸和铅笔解决这个问题 但我无法弄清楚如何在 Verilog 中做到这一点 例如 A表示为a15 a14 a13
  • FPGA 系统中的同步与异步复位

    我刚开始使用各种不同的模块创建 FPGA 系统来驱动 I2C 总线 尽管我认为这个问题适用于任何 FPGA 系统 并且所有模块都使用同步复位 这些模块使用时钟分频器模块进行计时 该模块获取系统时钟并向系统的其余部分输出较低的频率 我遇到的问
  • 使用正则表达式进行 Verilog 端口映射

    我有一个很长的端口映射 我想在其中替换一堆 SignalName i with SignalName SignalName i 我想我可以用正则表达式轻松地做到这一点 但我无法弄清楚如何做到这一点 有任何想法吗 假设 SignalData
  • VHDL FSM多驱动网Q连接到常量驱动程序,其他驱动程序被忽略,我的代码有什么问题?

    这段代码是一个 FSM 它是一个摩尔机 艾莉莎 P 哈克 Alyssa P Hacker 有一只蜗牛 沿着纸带爬下去 上面有 1 和 0 蜗牛 每当最后两个时都会微笑 它爬过的数字是 01 设计摩尔和米利 蜗牛大脑的 FSM 代码如下所示
  • 如何修复实例上的错误:未定义的变量 B?

    我想编译此 Verilog 代码 但在实例中出现错误B模块中的MultiP module error 1 Undefined variable B error 2 near Adder1 syntax error unexpected ID
  • Verilog 数组语法

    我是 Verilog 新手 并且遇到了很多麻烦 例如 我想要一个包含八个单元的数组 每个单元都是 8 位宽 以下不起作用 reg 7 0 transitionTable 0 7 assign transitionTable 0 10 仅仅做
  • 为什么我们在FGPA / VHDL / VIVADO中使用REG?

    我正在使用 Xilinx 的 vivado 在 verilog 中进行编程 我想知道为什么我们使用某些输出reg 例如reg 3 0 encoder output我们使用它是因为我们的 16 到 4 编码器有 4 个输出 对吧 我假设我们使
  • Verilog:如何取绝对值

    在 verilog 中 我有一个二进制值数组 如何取减去值的绝对值 Verilog代码 module aaa clk input clk reg 7 0 a 1 9 reg 7 0 s 1 9 always posedge clk begi
  • VHDL - ror 和 rol 操作

    我怎么解决这个问题 reg 变量定义为 signal reg STD LOGIC VECTOR 7 downto 0 00000001 下面代码中ror操作有问题 错误信息是 Line 109 Syntax error near ror L
  • 仿真输出全为零

    我的设计模块和测试平台代码已编译 但是 当我模拟时 我没有得到正确的输出 谁能告诉我我的代码哪里出了问题 这是测试平台的代码 module testbench reg 511 0 FROM LS reg CLK reg 63 0 TO IF

随机推荐

  • 根据 bin 大小将列表分为多个列表

    我有一个包含超过 100 000 个值的列表 我需要根据特定的 bin 宽度 例如 0 1 将列表划分为多个较小的列表 谁能帮我写一个Python程序来做到这一点 我的清单看起来像这样 0 234 0 04325 0 43134 0 315
  • .R 脚本文件位于 PC 上的哪里?

    我想找到用于 R 中计算的脚本 R 文件的位置 我知道通过输入对象函数 我将获得正在运行的代码 然后我可以复制 编辑并将其另存为新的脚本文件并使用它 要求查找 foo R 文件的原因是 好奇心 了解数值计算中使用的算法是什么 更直接的是 函
  • C++ Visual Studio 编译错误

    我收到以下编译错误 致命错误 C1189 error 错误 使用 C 运行时库内部头文件 我对此完全不知道 谁能解释一下吗 完整的错误 C Program Files Microsoft Visual Studio 8 VC ce incl
  • 使用一次性使用令牌将 Auth0 实现到 chrome 扩展中

    我正在制作一个 chrome 扩展程序 我想为我的 chrome 扩展程序创建一个安全方法 以阻止它在没有我参与的情况下被共享 我有一个想法在某个地方生成一个令牌 通常是一些后端 也许是网站的服务器 该服务器获取我用 worpress 构建
  • 跨不同类加载器覆盖默认访问器方法会破坏多态性

    我在尝试使用以下方法重写方法时遇到了奇怪的行为默认访问器 ex void run 根据Java规范 如果类属于同一个包 则类可以使用或覆盖基类的默认成员 当所有类从同一个类加载器加载时 一切正常 但是如果我尝试从中加载子类separate类
  • Windows 上缺少“magic”库的文件

    我需要获取 Windows 上某些文件的 mime 类型 所以我已经安装了python magic 在 32 位 python 2 7 3 上 这取决于unixmagic图书馆 作者指示获取regex2 dll zlib1 dll and
  • 如何获取 xsd 验证失败的无效 xml 文件的元素

    我目前正在使用 XSD 来验证我的 xml 这部分工作正常 我的问题是我想获取无效的标签 值的元素 InputSource is new InputSource is setCharacterStream new StringReader
  • Golang net.Conn 并行写入

    我有多个 Goroutine 共享一个 net Conn 对象 他们可以同时发出 Write 调用吗 我主要关心的是已部分完成的 Write 调用 假设我打算写 100 个字节 但只发送了 30 个字节 所以我需要再发送 70 个字节 为此
  • JPA条件查询中的条件where子句

    我面临 JPA 标准查询的问题 如何使用 if else 在条件查询中添加多个 where 子句 我的要求是 CriteriaBuilder builder getEm getCriteriaBuilder CriteriaQuery qu
  • 如何在 BottomSheetDialogFragment 内的 ViewPager2 上启用拖动?

    有一个BottomSheetDialogFragment并且在片段布局和打开方面工作良好的垂直拖动状态STATE EXPANDED模式 有一个recyclerview在它里面并垂直拖动可以在底部工作表上工作 但它不起作用recyclervi
  • 检查日期是否在范围内

    我正在尝试检查 mm dd yyyy 格式的日期是否大于今天且小于从今天起 6 个月后的日期 这是我的代码 var isLinkExpiryDateWithinRange function value var monthfield valu
  • WPF 消息框窗口样式

    如何将默认的Windows样式应用到标准MessageBox in WPF 例如 当我执行下一个代码时 MessageBox Show Hello Stack Overflow Test MessageBoxButton OKCancel
  • Tomcat、Docker、日志记录和 STDOUT?

    我在docker中运行tomcat 但看不到日志 它们被写入到 tomcat logs 下的各种日志文件中 但是当 tomcat 在 docker 容器中运行时我看不到它们 这是我的 Dockerfile FROM tomcat 7 jre
  • HttpClient.BaseAddress 的目的是什么以及为什么我不能在第一次请求后更改它

    所以我们大多数人可能都读过我们应该重用HttpClient而不是使用using并创造新的 这意味着我只能创建一个实例HttpClient在我的程序中并调用GetAsync每个请求使用完整的 uri 字符串 这引导我到BaseAddress的
  • 如何更改 ASP.NET MVC 使用的“ReturnUrl”参数的名称?

    ReturnUrl有点丑 我想用redirect反而 如何指定应用于表单身份验证重定向 URL 的参数名称以及 Authorize 属性 或者我是否必须创建 IAuthorizationFilter 实现 Example Authorize
  • iPhone 忽略 CSS 媒体查询。存在视口标签。在桌面上工作

    编辑 这对我来说完全是菜鸟错误 我正在编辑与我正在测试的文件集不同的文件集 向所有回答帮助我的人表示诚挚的歉意 我对所有答案都投了赞成票 因为我至少从你们那里学到了更多关于媒体查询的知识 但没有人提供答案 请问现在如何处理这张票 这是网站上
  • Python Paramiko 中的密码身份验证失败,但相同的凭据在 SSH/SFTP 客户端中有效

    我在尝试使用 Paramiko Python 创建 SFTP 客户端时遇到了困难 Code import paramiko as sftp transport sftp Transport myhost port default max p
  • 按 Orion Context Broker 0.23.0 中的属性值过滤

    在当前版本的 Orion Context Broker 0 23 0 中 新增功能之一是支持根据属性值过滤实体 NGSI v2 我当前正在执行 GET 操作 如所示http telefonicaid github io fiware ori
  • DataGridView 单元格、RowHeader 和 ColumnHeader 的不同 ContextMenuStrip

    我想设置不同ContextMenuStrip for DataGridView Cells RowHeaders and ColumnHeaders 这个想法是 当我右键单击这些项目中的任何一个时 会出现一个不同的ContextMenuSt
  • 打印存储在 reg 类型变量中的有符号整数值

    如何打印存储在 8 位寄存器中的有符号整数值 声明为 reg 7 0 acc Using display acc d acc 它打印无符号值 正确的语法是什么 display功能 如果您声明reg as signed display将显示减