Quartus II运行综合时警告Warning 15714

2023-11-16

Quartus II运行综合时警告Warning 15714

一、出现问题

第一次使用Quartus II编译项目代码时,软件报告如下警告:
软件编译报错
意思就是管脚有不完整的I/O分配。

二、问题解决

我当时也没多想,直接百度。看到一篇回答如下:
在这里插入图片描述
看到这里我愣了一下,这些current是啥意思啊?怎么给引脚设置这些参数啊?这些参数怎么选定啊?不知道。突然意识到我根本就没有分配引脚!于是,我赶紧返回去看警告,捕捉到一个关键数字31
在这里插入图片描述
这不是我总的输入和输出引脚数目吗?顿时恍然大悟,嗯~回去分配引脚了。

三、总结

我在这里是由于粗心大意忘记分配引脚才导致这个警告,也不排除有些朋友分配了引脚还是遇到这个警告,那就要考虑是不是没有设置相关参数了。

参考文献:

  1. [Warning 15714——geekite]
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Quartus II运行综合时警告Warning 15714 的相关文章

  • 模加法器输出显示没有变化

    输出波形显示无变化sum dif burrow and out 即使增加延迟时间后 输出仍然没有变化 这应该像 mod 加法器一样工作 如加 10 和 2 并且 mod 3 给出输出零 CODE module Mod adder a b p
  • 基于FPGA的简易BPSK和QPSK

    1 框图 2 顶层 3 m generator M序列的生成 输出速率为500Kbps 4 S2P是串并转换模块 将1bit的m序列转换到50M时钟下的2bit M序列数据 就有4个象限 5 my pll是生成256M的时钟作为载波 因为s
  • 使用forever和always语句

    以下两个代码都会生成一个时钟 我需要知道除了时钟生成之外 永远循环是否还有其他用途 我只在时钟一代中遇到过永远 如果只是为了这个目的 那岂不是毫无用处 initial begin clk 0 forever begin 5 clk clk
  • 如何在verilog中逐行读取文本文件?

    我有一个 SREC 文件 它是一个简单的文本文件 我想在 verilog 中逐行读取它 我怎样才能做到这一点 以下读取文件 每个时钟周期 1 行 预期的数据格式是每行一个十进制数 integer data file file handler
  • Vivado ILA的debug信息保存与读取

    保存 write hw ila data D Project FPGA ILA Debug Data 202401041115 ila upload hw ila data hw ila 1 读取 display hw ila data r
  • Verilog:添加寄存器的各个位(组合逻辑,寄存器宽度可参数化)

    我正在尝试想出一种方法来添加寄存器的各个位 例如 if regA 111000 then regB 3 位的总和regA 1 Verilog或SystemVerilog中是否有可以直接使用的可综合函数 运算符来执行此操作 如果不是 那么问题
  • MINI-UTDE 10 BASE-T 集成控制器

    MINI UTDE 10 BASE T 集成控制器 MINI UTDE 10 BASE T 拥有多达三个本地I O板和远程I OS总线通信 为用户提供了一系列生产单元功能的单一控制点 包括诸如夹头 反馈器和辅助机器等外围生产设备 支持所有主
  • 使用双寄存器方法解决亚稳态问题

    为了解决Verilog中不同时钟域引起的亚稳态 采用双寄存器方法 但据我所知 亚稳态的最终输出尚未确定 输出独立于输入 那么 我的问题是如何保证使用双寄存器方法输出的正确性 Thanks 您不能完全确定您避免了亚稳态 正如您所提到的 亚稳态
  • ESP10B 锁定连接器

    ESP10B 锁定连接器 ESP10B 电机新增内容包括双极型号标准 NEMA 尺寸 17 23 和 34 的步进电机现在包括输出扭矩范围从 61 盎司英寸到 1291 盎司英寸的双极型号 该电机配有带锁定连接器的尾缆 可轻松连接 每转可步
  • if 语句导致 Verilog 中的锁存推断?

    我正在编写用于合成算法的 Verilog 代码 我对哪些情况可能导致推断锁存器有点困惑 下面是这样的一段代码 虽然它在模拟中工作得很好 但我担心它可能会导致硬件问题 always b1 or b2 b1 map b2 map m1 map
  • 如何使用 don't cares 参数化 case 语句?

    我有一条称为输入的电线 我想检测前导的数量 我正在尝试创建一个模块 该模块使用下面的 case 语句根据前导零的数量更改输出数据 然而 输入的大小是可参数化的 如果 X 是固定值 4 我将创建一个 case 语句 case input 4
  • 在 Verilog 中判断总线是否包含单个 x 的最佳方法是什么?

    我有一个监控总线的测试台 总线内的一些信号 位 可以是 1 bx 由于多种原因 我需要知道总线内是否有任何信号是 1 bx 如果总线包含任何 x 测试 不用于综合 仅用于模拟目的 的最佳方法是什么 我曾希望我可以使用减少或然后使用 但这似乎
  • 为什么 Verilog 不被视为编程语言? [关闭]

    Closed 这个问题是基于意见的 help closed questions 目前不接受答案 教授在课堂上说学生不应该说他们学会了用Verilog 编程 他说 Verilog 之类的东西不是用来编程的 而是用来设计的 那么 Verilog
  • Verilog 中的 If 语句和分配连线

    我试图弄清楚基于组合逻辑分配电线的基础知识 I have wire val wire x wire a wire b always begin if val 00 I want to assign x a if val 01 I want
  • 在测试台中显示信号名称/文字

    是否可以在 Verilog 中引用 显示信号的名称 文字 对于在 Verilog 测试台中创建通用信号检查功能来说 这将是一个有用的功能 我知道使用 display 时 m 将打印信号的范围 是否有显示信号名称的等效项 在 Verilog
  • VIM 高亮匹配开始/结束

    我正在尝试找到一个插件 它将突出显示与 Verilog 匹配的开始 结束语句 VIM 可以使用花括号 方括号 但不能使用它的开始 结束 我希望 VIM 突出显示正确的开始到正确的结束 在我看来 最好的选择是使用 matchit 该脚本是 v
  • 学习 Verilog 的资源 [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我是 Verilog 新手 有人可以推荐学习资源 书籍 视频 博客或任何他们有良好个人经验并帮助他们更
  • Verilog 数组语法

    我是 Verilog 新手 并且遇到了很多麻烦 例如 我想要一个包含八个单元的数组 每个单元都是 8 位宽 以下不起作用 reg 7 0 transitionTable 0 7 assign transitionTable 0 10 仅仅做
  • \add_34/U1_1_6 的 Verilog 命名约定

    有人可以解释一下这个命名约定在下面的 Verilog 行中意味着什么吗 我不知道这是什么 add 34 部分的意思是 ADDHXL add 34 U1 1 6 A n1022 B add 34 carry 6 CO add 34 carry
  • 仿真输出全为零

    我的设计模块和测试平台代码已编译 但是 当我模拟时 我没有得到正确的输出 谁能告诉我我的代码哪里出了问题 这是测试平台的代码 module testbench reg 511 0 FROM LS reg CLK reg 63 0 TO IF

随机推荐

  • P-tuning v2 利用深度提示调优

    P tuning v2 利用深度提示调优 即对预训练变压器的每一层输入应用连续提示 Deep prompt tuning 增加了连续提示的能力 并缩小了跨各种设置进行微调的差距 特别是对于小型模型和艰巨的任务 感谢 rainatam 为发布
  • 网络数据保障ptop_智能IP网络,引领广域网进入全业务智能时代

    当前 伴随数字化的浪潮 各行各业都在加速数字化探索和转型 对企业而言 数字化转型的根本是通过对业务模式 业务流程 企业组织的改造 让所有的业务能够基于数据进行驱动 实现更好的客户体验和更高的组织效能 从而推动业务的增长 企业数字化转型的终极
  • 在 BSV 上构建机器学习竞赛市场

    我们提出了一种在 BSV 上实现去中心化机器学习 ML 市场的新方法 任何人都可以通过发布附带奖励的智能合约来外包机器学习任务 任何提交表现最佳模型的人都将通过区块链交易获得奖励 而无需通过中心化机构 如何在 BSV 上进行机器学习竞赛 K
  • 1.2 管理 NetBackup 许可证

    关于管理 NetBackup 许可证 NetBackup许可证密钥是在安装软件时添加的 对于需要单独购买的选件 可以稍 后在 许可证密钥 对话框中添加许可证 注意 在进行任何许可证更新之后 请重新启动 NetBackup 管理控制台 注意
  • Fedora 18 安装VMware Tools

    1 宿主机 windows 8 4G内存 2 虚拟机 VMware 9 0 1 3 虚拟主机 VMware下Fedora 18 1G内存 VMware Tools是VMware虚拟机中自带的一种增强工具 相当于 VirtualBox 中的增
  • ipv6文件服务器,ipv6怎么配置服务器

    ipv6怎么配置服务器 内容精选 换一换 华为云帮助中心 为用户提供产品简介 价格说明 购买指南 用户指南 API参考 最佳实践 常见问题 视频帮助等技术文档 帮助您快速上手使用华为云服务 IPv6的使用 可以有效弥补IPv4网络地址资源有
  • StrongSORT(deepsort强化版)浅实战+代码解析

    1 实战部分 1 1 具体操作 其实和之前的deepsort没差 到github上下载Yolov5 StrongSORT OSNet 下载对应的yolov5去替代原文件中yolov5 下载yolov5权重 可以自动下载 和ReID权重 可能
  • (Java 基础知识) Java 正则表达式

    一 概述 正则表达式是Java处理字符串 文本的重要工具 Java对正则表达式的处理集中在以下两个两个类 java util regex Matcher 模式类 用来表示一个编译过的正则表达式 java util regex Pattern
  • 编译原理三大经典书籍(龙书 虎书 鲸书)

    1 龙书 Dragon book 英文名 Compilers Principles Techniques and Tools 作者 Alfred V Aho Ravi Sethi Jeffrey D Ullman 中文名 编译原理技术和工具
  • 《python语言程序设计》第5章第10题 里EOFError:EOF when reading a line? 问题的解决(小白分享)

    废话不多说上题 编写程序提示用户输入学生个数以及每个学生的分数 然后显示最高分 假设输入是存储在一个名为score txt的文件 程序从这个文件获取输入 codeNumber eval input Enter class input 输入学
  • 位运算的那些奇技淫巧

    这里写目录标题 一 常 装 见 逼 的位操作 先看几个有意思的位操作 1 判断奇数偶数 2 交换两个数字 3 找出没有重复的数字 4 m的n次方 5 判断一个数是不是二的指数 6 找出不大于N的最大2的幂指数 二 leetcode解题 13
  • LINQ语句查询

    连接数据库 Linq语句查询 目前的学习进度来说也就是我们的单表和多表查询 它为匿名类型查询提供了一种很方便的方法 可用来将一组只读属性封装到单个对象中 而且还不需要先定义一个显示类型 因为它的类型名字直接由编译器生成 而且每一个属性的类型
  • 算法---栈的最小值

    实现一个这样的栈 这个栈除了可以进行普通的push pop操作以外 还可以进行getMin的操作 getMin方法被调用后 会返回当前栈的最小值 栈里面存放的都是 int 整数 并且数值的范围是 100000 100000 要求所有操作的时
  • 关于css nth-child

    选择第n个 n位数字 nth child n 选择列表中的偶数标签 nth child 2n 选择列表中的奇数标签 nth child 2n 1 选择前几个元素 负方向范围 选择第1个到第6个 nth child n 6 从第几个开始选择
  • FreeBSD简单汉化终结篇[基于core font的汉化]

    此贴解决了FreeBSD基本的汉化 字体的模糊 Win分区的 中文显示 XMMS的菜单及其他的中文显示 输入法fcitx的 安装等问题 1 安装kde i18n zh CN cd usr ports chinese kde3 i18n zh
  • 硬件参数 调整 麦克风MIC灵敏度 原理

    1 先看MIC电路连接 这是个差分输入的例子 MICP2和MICN2是一对差分信号 经过C156的滤波 输入到MIC两端 MIC两引脚分别是到地和供电 上图的R177参数就关系到MIC输入的灵敏度 2 电阻R177影响灵敏度分析 MICBI
  • three.js中通过gsap动画库实现物体的动画

    一 什么是gsap GSAP GreenSock Animation Platform 是一个JavaScript动画库 由GreenSock公司开发 用于在Web应用程序中创建高性能动画 使用GSAP可以通过一些简单的动画操作来实现复杂的
  • C语言怎么把int类型转为char,c++ 如何把一个int转为char*

    把int类型数字转成char类型 可以使用以下方法 char b 4 i nt a for int i 00 i lt 4 i b i char a a a gt gt 8 int用于符号 int s 符号表达式s的不定积分 int s v
  • DAPP开发之-Truffle命令手册

    安装框架 npm install g truffle 初始化 truffle init 编译 truffle compile 网络配置 truffle config 或 config 配置网络 例如 BSC测试网 mnemonic为助记词
  • Quartus II运行综合时警告Warning 15714

    Quartus II运行综合时警告Warning 15714 一 出现问题 第一次使用Quartus II编译项目代码时 软件报告如下警告 意思就是管脚有不完整的I O分配 二 问题解决 我当时也没多想 直接百度 看到一篇回答如下 看到这里