【常见 error】Vivado 综合出现中断、失败、“PID not specified”

2023-11-03

目录

 

发现问题 

解决历程

总结


 

发现问题 

在对工程进行综合时,出现综合过程中出现中止或者完全不启动综合,类似下图,明明点击综合启动了几分钟,但是 elapsed 一直显示为 0,表示完全没用启动综合,在 TCL Console 栏中出现了“PID not specified”字样。

607bd91f95e243eca360291153796dd4.png

解决历程

经过查询网上的解决办法,有说是在安装 Vivado 时有杀毒软件类似 360 存在会导致这种情况,但是我电脑没有任何杀毒软件,很奇怪百思不得其解,这个工程只改动了部分代码就出现这种情况,我检查了代码也是没有问题的。

也想过重新搭一遍工程,但是工程比较大搭起来太费时间就放弃了,同样重新安装 Vivado 可能也可以解决问题,但是这是最后的无奈之举了。

之前也遇到这种问题,是因为在 block design 中有部分的连线出现错误导致,修改了就可以正常综合了,这次出现的新问题应该不是 BD 的问题,于是我重新新建了一个综合文件以及布局布线文件,对其进行综合,果然可以正常综合了,看来多半是 Vivado 自身的 bug。

总结

在遇到 Vivado 无法综合的情况时,可以参考以下的方法,方法的时间难度逐渐递增。

首先检查自身的问题

(1)检查代码是否有问题,或者搭建 Block Design 时有没有出现有些线没有连上或者连的不对。

如果上述方法检查过了仍然不行,执行第二步方法:

(2)重新新建一个 Synthesis 文件和 implementation 文件。

点击 design runs 中的+号,

3be645abbc58482ca0d8e6498c709021.png

在打开的选择卡中选择 Both 选项,表示综合和布局布线文件都新建一个

694e7f2b8c554ccbbbe27c702e4e71c4.png

继续点击 Next

cde5ccf5a6434fdcb86f684d5b070c34.png

继续点 Next

0e5d87d9eb904cd2a024f1cdc8da656b.png

选择 “launch runs on local host” 以及选择工作的线程数,继续点击 Next

11b633ca493f45fda23e65e6f0673a24.png

最后点击 Finish 即创建新的综合和布局布线文件

884670a19dcc467da6588e88446bc56a.png

在 design runs 中右击 synth 和 imple 选择 make active,表示选择新建的文件作为综合和布局布线的住文件,等会点击综合和布局布线就会针对这个文件进行生成。

86ad57aecaa9428eb395244e1b8e532d.png

然后可以直接生成比特流,我通过这个方法就可以正常生成综合和比特流文件了。

如果上述方法仍然不行,只能用终极方法了——重启、重装大法好!

(3)可以尝试重新搭建新的工程,重启电脑在试试,甚至重新安装 Vivado,注意需要在没有杀毒软件的环境下安装,这个方法我没有试过,但是这也是最后的办法了。

 

 

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

【常见 error】Vivado 综合出现中断、失败、“PID not specified” 的相关文章

  • 【bug】antd全局的主题色样式被覆盖,被修改为`antd`默认的主题色

    背景 项目本身修改了主题色 配置如下 umi配置文件 export default theme primary color 2F54EB 全局主色 需要对图片上传组件做封装 并在项目中统一引用 如下 import TdsUpload fro
  • 【FPGA】面试问题及答案整理合集

    面试问题及答案整理合集 1 硬件描述语言和软件编程语言的区别 2 FPGA选型问题 3 建立时间和保持时间问题 3 亚稳态问题 4 竞争和冒险问题 5 乒乓操作问题 6 同步和异步逻辑电路 7 同步复位和异步复位 8 MOORE 与 MEE
  • 解决 "/lib64/libc.so.6: version `GLIBC_2.18' not found (required by /lib64/libstdc++.so.6)"

    centos安装软件时提示缺少库 GLIBC 2 18 缺失 执行下列方法 途中不要退出 即可解决 curl O http ftp gnu org gnu glibc glibc 2 18 tar gz tar zxf glibc 2 18
  • [3dsMax]2018版下拉菜单项的子菜单无法选中

    软件自身问题 安装更新补丁即可解决 不想更新补丁也可以使用键盘的方向键进行选中 补丁百度云链接 https pan baidu com s 1LDxRFwQnR0GSONuz7wcEfA 提取码 6gpk
  • Hadoop的java程序报错Exception in thread "main" java.io.FileNotFoundException: File does not exis

    找了半天发现是因为路径没有写全 正确路径应该是E abc txt 注意检查路径是不是写全了 尤其是后缀
  • 测试大姐趁我下班点又提了个bug!前端你咋多个options请求?

    测试大姐提了个bug 为什么你多了个options请求 1 下班前的寂静 刚准备下班呢 测试大姐又给我提个bug 你看我这就操作了一次 network里咋有两个请求 我心一惊 不可能啊 我代码明明就调用一次后端接口 咋可能两个请求 打开她的
  • Python ERROR: Could not install packages due to an OSError:XXX解决方法

    Python ERROR Could not install packages due to an OSError XXX解决方法 文章目录 Python ERROR Could not install packages due to an
  • ALLEGRO等长时如何将PIN DELAY和VIA长度计算在内

    在PCB设计中 对于时序要求严格的线路 Via和IC pin delay的长度必须得到重视 通过下面的操作 可将Via和Pin delay加入到线路长度的计算中 1st 计算Pin delay 打开Constraint Manager 选择
  • 【FPGA】通俗理解从VGA显示到HDMI显示

    注 大部分参考内容来自 征途Pro FPGA Verilog开发实战指南 基于Altera EP4CE10 2021 7 10 上 贴个下载地址 野火FPGA Altera EP4CE10征途开发板 核心板 野火产品资料下载中心 文档 hd
  • ubuntu运用软件和更新自动安装NVIDIA显卡驱动

    可能是我电脑硬件问题 直接运用软件和更新安装驱动 老是不能装成功 甚至装的系统都进不了 还要重装系统 这次重装系统后 我试着用软件和更新来自动安装驱动 一 secure boot修改为disable 1 首先进入终端输入 secure bo
  • VHDL:正式端口“portName”没有实际值或默认值

    我在实例化 VHDL 模块 PWM 的 VHDL 测试平台上收到编译错误 正式端口 Duty Cycle 没有实际或默认值 当站在 dev to test PWM 代码行时会看到该错误 在实例化的 PWM 模块中 Duty Cycle st
  • 串口通信知识点总结

    串口是串行接口 serial port 的简称 也称为串行通信接口或COM接口 串口通信是指采用串行通信协议 serial communication 在一条信号线上将数据一个比特一个比特地逐位进行传输的通信模式 串口按电气标准及协议来划分
  • 无线网络管理系统与无线路由器的区别

    第5章 波形发生器软件设计 本章我们将介绍系统的软件设计 系统中控制软件占有很重要的地位 它不仅要产生波形数据 控制波形的发生 还要控制显示电路和键盘电路 因此系统软件的好坏直接决定着系统的功能和稳定 5 1软件的总体结构 在本系统中 由于
  • Python小细节之 Spyder使用bug(着急的可以直接滑到最后!有惊喜)

    顺势转移阵地 起因 发现问题 解决问题 解决失败 不装了 结尾 起因 运行程序 奇怪 这个程序我在IDE跑过 没问题的咧 点击 展示细节 复制一下错误 self
  • MINI-UTDE 10 BASE-T 集成控制器

    MINI UTDE 10 BASE T 集成控制器 MINI UTDE 10 BASE T 拥有多达三个本地I O板和远程I OS总线通信 为用户提供了一系列生产单元功能的单一控制点 包括诸如夹头 反馈器和辅助机器等外围生产设备 支持所有主
  • 微信私密朋友圈被吐槽有BUG

    日前 大量网友在各社交媒体上讨论微信私密朋友圈出现 Bug 的话题 起因是跨年期间一个网友发布了一条 私密朋友圈 但不一会就收到朋友发来的信息 又偷偷发朋友圈了 估计此时网友可能已经 寒毛四起 汗流浃背了 经过和好友沟通得知 好友在刷朋友圈
  • UIO 设备上的 mmap EINVAL 错误

    在尝试使用 UIO 而不是直接映射后 我在 Xilinx Zynq 上映射物理内存时遇到问题 dev mem 虽然计划是以普通用户身份运行应用程序 而不是root这仍在运行root 显然 第一个映射成功 其余映射到同一个文件描述符12 de
  • #私密朋友圈被吐槽有bug?官方致歉!网友:尴尬了......

    2024软件测试面试刷题 这个小程序 永久刷题 靠它快速找到工作了 刷题APP的天花板 CSDN博客 文章浏览阅读2 2k次 点赞85次 收藏11次 你知不知道有这么一个软件测试面试的刷题小程序 里面包含了面试常问的软件测试基础题 web自
  • FPGA 系统中的同步与异步复位

    我刚开始使用各种不同的模块创建 FPGA 系统来驱动 I2C 总线 尽管我认为这个问题适用于任何 FPGA 系统 并且所有模块都使用同步复位 这些模块使用时钟分频器模块进行计时 该模块获取系统时钟并向系统的其余部分输出较低的频率 我遇到的问
  • VHDL 中奇怪的 XNOR 行为

    导致问题的代码看起来像正常的 xnor 操作 如下所示 S 1 lt L 16 xnor L 26 该行会导致以下错误 ncvhdl p E EXPSMI HDL aes sbox enc depth16 vhd 169 14 expect

随机推荐

  • 功耗测评

    STM32F103C8T6 MCU越来越广泛的应用在生产生活的各个领域 外接丰富的传感器 功能模块 通信模块 显示存储等可以形成各种可样的产品项目应用 对于功耗要求比较高的产品 一般会选择STM32L系列的MCU 但是从功耗的评测角度 逻辑
  • 内容产品项目作品

    一 项目背景介绍 如果让大家来做一个没接触过的产品 思路 先了解产品所在的行业 多看看第三方的行业研究的文章 了解产品的主要竞品 这样做产品设计的时候不会乱搞 常见的内容产品形态 图文 音视频 直播等 二 内容产品生态及产品架构 产品架构端
  • < ElementUi组件库: el-progress 进度条Bug及样式调整 >

    ElementUi组件库 el progress 进度条Bug及样式调整 前言 一 实现原理 gt 修改 el progress 进度条样式 及 渐变进度条样式 二 案例代码 前言效果图案例 gt HTML代码 gt CSS代码 三 效果演
  • Unity使用vscode无法跳转到引用等一些问题

    软件 unity2019 vscode 前提 一直在用visiostudio做Unity开发 但vscode确实有很多优点 今天在一个全新电脑下搭建环境 竟遇到了许多问题 vscode无法跳转到引用 F12不起作用 无法引用using Un
  • V字钩爪。

    题目链接 题解 分块 就是有一些点之间是永远没有交集的 因为每一个点只与前面第k个元素以及后面那k个元素有关 所以我们应该把那些出现矛盾的点放到一起 然后进行讨论 下面是AC代码 include
  • java包的介绍

    包 包就是文件夹 用来管理各种不同功能的 java 类 方便后续管理 包名的规则 公司域名反写 包的作用 需要全部英文小写 见名知意 导包的规则 使用同一个包中的类时 不需要导包 使用 java Lang 包中的类时 不需要导包 其他情况都
  • python中怎么样统计一张图像中白色和黑色像素点个数(二值图)

    前言 有时候需要计算一张图片里的里黑白像素的个数来计算某些指标 所以这里就教大家怎么计算 代码实现 import numpy as np import cv2 二值化图像直接 print len im im 255 print len im
  • centos6、centos7、ubntu去除ip link 中bond

    说明 在对服务器重新配置网络时候 往往需要提前清楚网络和网卡相关信息 这里坐下说明和记录 centos6去除网络配置 网络配置路径 etc sysconfig network scripts 去除网络配置前先做备份 mkdir opt ne
  • SpringMVC实现文件的上传和下载

    SpringMVC文件的上传和下载 一 SpringMVC文件上传 1 什么是文件上传 文件上传 指的就是将用户本地计算机中文件上传到服务器上的过程称之为文件上传 2 文件上传编程步骤 1 Client选择文件 上传页面 1 method
  • TypeScript的基础类型

    1 boolean类型 boolean表示布尔值类型 即true和false 例 let isDone boolean false 2 number类型 number表示数字类型 包括整数和浮点数 例 let decimal number
  • 如何开发和部署微信小程序

    今天开始 用ChatGPT创作技术内容了 要开发和部署微信小程序 您需要遵循以下步骤 1 注册微信小程序账号 在微信公众平台注册小程序账号 通过审核后即可开始开发 2 下载开发工具 微信小程序开发工具是一款免费的IDE 可在Windows
  • ElasticSearch7 数据类型之日期类型

    日期类型 Date datatype Elasticsearch7 日期表达成类型 日期格式化的字符串 比如 2015 01 01 或者 2015 01 01 12 10 30 毫秒级别的 long 类型 秒级别的 integer 类型 M
  • 基础实验篇

    导读 uORB是PX4 Pixhawk系统中非常重要且关键的模块之一 是用于无人机模块间通信的协议机制 本篇将详细介绍uORB并详细拆解uORB消息读写与自定义实验全流程 二 基础实验篇 uORB消息读写与自定义实验 二 01 RflySi
  • hive在执行任务的时候提示java.io.FileNotFoundException: File does not exist: hdfs://Master:9000/usr/local/apach

    1 错误信息 Number of reduce tasks determined at compile time 1 In order to change the average load for a reducer in bytes se
  • iOS架构师_SDWebImage源码分析

    1 对SDdemo的分析 针对SD的3 8 2版本进行分析 因为从git上面直接下载SD的源码 项目运行会报错 缺少libwebp这个库 我们下载3 8 2版本 运行 libwebp 是个webp的图片格式 属于谷歌的库 所以只能翻墙去国外
  • 基于Echarts的地图统计图-中国地图统计图

  • 深入理解计算机系统——知识总结

    第 1 章 计算机系统漫游 include
  • 城市旅行【BZOJ 3091】【LCT】

    题目链接 很好的一次的debug的经验 来来回回的splay和rotate 眼花缭乱的一次次记录每次的实虚边所构成的多个splay的森林 题目求的是取一条链上的任意两个点构成的边的权值的期望 其实可以考虑成点被选取的次数乘以该点的权值 一条
  • 在Activity中显示Fragment

    在Activity中显示Fragment 还必须将Fragment提那家到Activity中 将Fragment添加到Activity有两种方式 在布局文件中使用
  • 【常见 error】Vivado 综合出现中断、失败、“PID not specified”

    目录 发现问题 解决历程 总结 发现问题 在对工程进行综合时 出现综合过程中出现中止或者完全不启动综合 类似下图 明明点击综合启动了几分钟 但是 elapsed 一直显示为 0 表示完全没用启动综合 在 TCL Console 栏中出现了