Vivado使用与注意事项

2023-05-16

作者

QQ群:852283276
微信:arm80x86
微信公众号:青儿创客基地
B站:主页 https://space.bilibili.com/208826118

[DRC INBB-3] Black Box Instances: Cell ‘…’ has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.

删掉***.run文件夹,重新编译。

仿真波形窗口Block Design中的IP信号位宽不对

更改IP参数后,需要重新Generate Output Products。

[DRC RTRES-2] Global clock nets are using local routing resources: Global clock nets are using local routing resources. 1 net(s) have at least one node with COST_CODE_NAME equal to BOUNCEACROSS or INTENT_CODE_NAME equal to NODE_DOUBLE, NODE_HLONG, NODE_HQUAD, NODE_SINGLE, NODE_VLONG, or NODE_VQUAD. This situation occurs when a global clock net must enter a clock region where all global clock routing resources are occupied. Check the report from report_clock_utilization to determine which clock regions are traversed by the problem net and use floorplanning or other physical constraints to ensure a maximum of 24 global clock nets occupy each clock region. The problem net(s) are system_i/clk_wiz_0/inst/clk_out1.

因为ultrascale的xdma的时钟连接错误。

ordered port connections cannot be mixed with named port connections

最后一个例化信号.m_axis_mac_tvalid (s_axis_tvalid)后面不能有标点符号。

  toe_core #(
    .ILA_EN(0)
    ) toe_core_i (
...
    .m_axis_mac_tready      (s_axis_tready),
    .m_axis_mac_tvalid      (s_axis_tvalid)
    );

仿真

AR# 64000 Vivado 仿真器 - 了解波形数据库文件 (WDB) 和波配置文件 (WCFG)
AR# 58880 Xilinx Simulation Solution Center - Design Assistant - Vivado Simulator - Waveform Database (.wcfg,.wdb etc).

先点击1,后点击2,更改代码则先点击3,再点击2,就这几板斧就够用了。
55
保存sim的配置文件和波形,

save_wave_config D:/project/pcierc-zc706-axi-interconnect/tso.wcfg
open_wave_config D:/project/pcierc-zc706-axi-interconnect/tso.wcfg

[Synth 8-1852] concurrent assignment to a non-net s_axis_ctrl_fifo_tvalid is not permitted

对一个reg变量进行assign会出现这个错误。

Error while detecting SPI flash device - unrecognized JEDEC id bytes: 10, 00, 00

错误的使用了另外一个项目的fsbl导致的。

dcp网表

dcp网表不需要附加一个.v/.vhd的文件,直接使用,ngc网表才需要。

using cached ip status

在tcl console下输入,或者在Project Setting > IP > Clear Cache

config_ip_cache -clear_output_repo

然后Regenerate IP。

tcl

write_cfgmem -force -format BIN -interface BPIx16 -size 128 -loadbit "up 0x0 E:/project/vivado2015.2.1/finace_xc7k325t/finace_xc7k325t.runs/impl_1/finace_wrapper.bit" E:/project/vivado2015.2.1/finace_xc7k325t/finace_xc7k325t.runs/impl_1/finace_wrapper.bin
copy /y E:\project\vivado2015.2.1\finace\finace.runs\impl_1\finace_wrapper.bit C:\project\boot\finace
copy /y E:\project\vivado2015.2.1\finace_1_10g_toe\finace.runs\impl_1\finace_wrapper.bit C:\project\boot\finace

[Place 30-69] Instance mig_7series_0 … is unplaced after IO placer

Block Design中MIG的DDR3信号管脚忘记从顶层模块导出了。

[Shape Builder 18-140] Failed to build a LUTNM shape for instances

[Shape Builder 18-140] Failed to build a LUTNM shape

Do you have LUTNM constraints? I see that its a synthesis critical warning.
It just letting the user know about the decision that the synthesizer is making.
If you are not getting any error in implementation flow, its ok to ignore this.

IP设置没法更改

生成IP后,无法立刻更改IP参数配置,此时IP配置窗口的OK键是无法点击的,因为刚生成的IP正在编译。

保存和显示波形文件

ila的波形,

write_hw_ila_data -force E:/project/vivado2015.2.1/finace/tcp_ila_data [upload_hw_ila_data hw_ila_1]
open_hw # 等同于点击按钮Hardware Manager
read_hw_ila_data E:/project/vivado2015.2.1/finace/tcp_ila_data.ila
display_hw_ila_data

xdc

xdc文件的注释使用#,必须另起一行,不能在行末尾加注释。

Verilog语法检查能力差

变量声明必须在处理之前,否则,vivado不报错,直接把process reg a部分优化掉,所以建议所以变量都声明在文件顶部,同理,s_axis_tdata_csum如果不声明,也不报错,被vivado当作s_axis_tdata_csum = 0处理,

always @(...) begin
  process reg a
end
reg a;

assign s_axis_tdata_csum = s_axis_tdata[31:16] + s_axis_tdata[63:48];

不能重复声明变量,不报错,vivado会当成两个不同的变量,导致结果不对。

axi stream data fifo

如果你不在block design中使用这个IP,那么GUI中设置的DATA宽度不会适配到生成的verilog中,总是512,可以手动更改生成的IP文件,手动更改,这个问题在vivado2015.2.1和vivado2017.4中都会出现。

xxx.srcs\sources_1\ip\axis_data_fifo_0\synth\axis_data_fifo_0.v

[IP_Flow 19-4048] Interface ‘s_axi’ may not be edited on IP ‘finace_axi_10g_ethernet_0_0’.

vivado2015.2.1,reset synth,Delete Files,BD上reset generated outputs,重新编译。

Processor System Reset

218

外部管脚时钟域

可先查看其他的1处的时钟域,粘贴过去,
219

远程调试

远程共享和访问赛灵思器件

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Vivado使用与注意事项 的相关文章

  • Vivado使用与注意事项

    作者 QQ群 xff1a 852283276 微信 xff1a arm80x86 微信公众号 xff1a 青儿创客基地 B站 xff1a 主页 https space bilibili com 208826118 DRC INBB 3 Bl
  • Vivado中备份设计好的block design

    参考链接 https blog csdn net dimples song article details 81391615 前言 为了不每次都重新生成block design 避免重复劳动 可以使用直接复制原始工程的design bd块或
  • Vivado连不上目标板(Target)

    可能是Vivado没把JTAG驱动装上 xff0c JTAG驱动在Vivado安装目录X Xilinx Vivado 2015 4 data xicom cable drivers nt64 digilent xff0c 双击install
  • MicroBlaze系列教程(9):xilisf串行Flash驱动库的使用

    文章目录 1 xilisf库简介 2 xilisf库函数 3 xilisf配置 4 xilisf应用示例 工程下载 本文是Xilinx MicroBlaze系列教程的第9篇文章 1 xilisf库简介 xilisf库 Xilinx In s
  • Vivado将.v文件作为模块加入Block Design

    用Vivado开发ZYNQ时 常用到Block Design Block Design中不仅仅可以添加IP核 还可以将未封装成IP的 v或 vhd文件作为模块加入其中 我们以往Block Design中加一个闪灯的模块为例 说明如何向Blo
  • vivado2013.4和modelsim联合仿真

    vivado2013 4和modelsim联合仿真 Hello Panda 最近在做Zynq的项目 曾经尝试使用ISE PlanAhead XPS SDK组合和Vivado SDK来搭建工程 使用中发现前者及其不方便后者有诸多不稳定 近期得
  • IP核之FIFO实验

    FIFO 的英文全称是 First In First Out 即先进先出 FPGA 使用的 FIFO 一般指的是对数据的存储具有先进先出特性的一个缓存器 常被用于数据的缓存 或者高速异步数据的交互也即所谓的跨时钟域信号传递 它与 FPGA
  • FPGA时序约束系列文章汇总

    时序约束在FPGA开发中起着非常关键的作用 与时序约束相关的方面包括时钟分析 路径分析 布线和布局优化等 时序约束的正确性和准确性对于设计的成功是至关重要的 因为它们对电路的时序性能 功耗和资源利用率有着重要影响 有效的时序约束可以帮助设计
  • FPGA功耗估计

    1 背景 quad 资源 速度 功耗是FPGA设计中的三大关键因素 温度与功耗成正相关性 功耗大必然会导致温度高 高温最常见的问题是系统重启 温度高对FPGA内部的时序不利 导致可靠性下降 2 功耗分类 1 芯片静态功耗 quad FPGA
  • 【Xilinx AX7103 MicroBalze学习笔记6】MicroBlaze 自定义 IP 核封装实验

    目录 实验任务 实验框图 创建自定义 IP 封装 IP IP 封装界面配置 硬件设计 Vivado部分 Block Design搭建 添加 IP 库 约束文件 软件设计 SDK部分 往期系列博客 实验任务 本节介绍基于 MicroBlaze
  • BRAM资源不够用?不怕!这里有FPGA BRAM省资源小秘招!

    FPGA的BRAM和LUT等资源都是有限的 在FPGA开发过程中 可能经常遇到BRAM或者LUT资源不够用的情况 一般建议BRAM和LUT资源的消耗不要超过80 当然高端一点的FPGA芯片也可以放宽到90 超过这个限制 可能就会出现时序违例
  • 【Vivado使用误区与进阶】XDC约束技巧——时钟篇

    Xilinx 的新一代设计套件Vivado中引入了全新的约束文件XDC 在很多规则和技巧上都跟上一代产品ISE中支持的UCF大不相同 给使用者带来许多额外挑战 Xilinx工具专家告诉你 其实用好XDC很容易 只需掌握几点核心技巧 并且时刻
  • Verilog单周期CPU设计(超详细)

    下篇 Verilog流水线CPU设计 超详细 本篇完整工程下载链接 实验 单周期CPU 一 设计目的与目标 实验内容 实验要求 二 课程设计器材 硬件平台 软件平台 三 CPU逻辑设计总体方案 指令模块 MIPS指令格式 指令处理流程 数据
  • vivado下载

    vitis vivado 2019 2百度网盘 链接 https pan baidu com s 11CvUL05o2NTRqN4PpnFG5Q 提取码 n82v vivado2018 2百度网盘 链接 https pan baidu co
  • 【Xilinx Vivado 时序分析/约束系列11】FPGA开发时序分析/约束-FPGA DDR-PLL接口的 input delay 约束优化方法

    目录 DDR PLL 简述 实际操作 实际工程 顶层代码 PLL配置 添加时钟约束 添加 input delay 约束 添加 False Path Setup Time Hold Time Multicycle约束 解决办法 PLL配置 发
  • 【Xilinx AX7103 MicroBalze学习笔记4】MicroBlaze 按键中断实验

    目录 实验任务 实验框图 硬件设计 Vivado部分 Block Design 搭建 软件设计 SDK部分 代码部分 上板验证 往期系列博客 实验任务 通过 AXI GPIO 检测按键状态产生中断信号 中断控制器检测到中断后 给处理器发送中
  • FPGA的虚拟时钟如何使用?

    以下文章来源于傅里叶的猫 作者张大侠 但文中对虚拟时钟的应用介绍的还不够详细 因此这里我们再对虚拟时钟做一个更加细致的介绍 首先 虚拟时钟用于什么地方 虚拟时钟通常用于设定输入和输出的延时 即set input delay和set outp
  • 【FPGA】Xilinx vivado IP核许可申请——以MIPI CSI-2为例

    1 先进入xilinx官网 复制这个链接直接进入ip申请的地址 http www xilinx com getlicense 打开链接后需要登录 没账户的那就需要注册 点击红色方框内的蓝色字体即可注册 2 注册完成后 可以选择相应的IP核进
  • Vivado 比特流消息:违反规​​则 (LUTLP-1) 组合循环

    我在串流时遇到问题 该项目旨在创建一个占空比为 1 2 的时钟 综合和实现过程中没有任何问题 我尝试了几种方法来解决它 但他们的表现并不好 module clock div clk clk out input clk output reg
  • 为什么我们在FGPA / VHDL / VIVADO中使用REG?

    我正在使用 Xilinx 的 vivado 在 verilog 中进行编程 我想知道为什么我们使用某些输出reg 例如reg 3 0 encoder output我们使用它是因为我们的 16 到 4 编码器有 4 个输出 对吧 我假设我们使

随机推荐

  • MySql学习笔记(二)MySql配置文件和服务操作说明

    Mysql配置文件说明 MySQL MySQL ServerX X my ini mysqld 为服务端配置 xff0c 服务端端口号 port 61 3306 安装目录 basedir 61 34 C Program Files MySQ
  • MySql学习笔记(三)MySql常用命令说明

    一 数据库命令 1 1显示数据库命令 命令 xff1a mysql gt show databases 执行后 xff1a 43 43 Database 43 43 information schema mysql performance
  • ubuntu 使用dpkg手动安装deb包时发生循环依赖的解决办法

    将循环依赖的所有包放到同一个命令行里一起安装 xff0c 如 xff1a sudo dpkg i libnss3 nssdb 3 28 4 0ubuntu0 14 04 4 all deb libnss3 3 28 4 0ubuntu0 1
  • 什么是源端口和目的端口

    源端口就是指本地端口 目的端口就是远程端口 一个数据包 xff08 pocket xff09 被解封装成数据段 xff08 segment xff09 后就会涉及到 连接上层协议的端口问题 很多人都在源端口和目的端口这两个概念上犯迷糊 xf
  • ini文件

    关于ini 文件的存储于加载 xff0c 初次遇到 xff0c 刚接触ini 文件 xff0c 我想我该把它记下 xff0c 以后提醒自己要常用 参数 保存 xff1a 参数结构体 struct TextConfig int nVol 音量
  • MIUI9线刷包精简

    MIUI9线刷包精简 1 说明 xff1a 系统包一经修改 xff0c 将无法通过OTA升级 xff08 可能只是修改system分区的无法OTA升级 xff0c 一般精简都修改system分区 xff0c system分区未修改的能不能O
  • logback高级特性使用(三)

    本文转自 xff1a 点击打开链接 异步记录日志 注意 xff1a 该功能需要高版本才能支持 xff0c 如1 0 11 AsyncAppender xff0c 异步记录日志 工作原理 xff1a 当Logging Event进入Async
  • spring 官方下载地址(Spring Framework 3.2.x&Spring Framework 4.0.x)

    本文转自 xff1a 点击打开链接 SPRING官方网站改版后 xff0c 建议都是通过 Maven和Gradle 下载 xff0c 对不使用 Maven和Gradle 开发项目的 xff0c 下载就非常麻烦 xff0c 下给出Spring
  • ThreadLocal是否会引起内存溢出?

    本文参考 xff1a 点击打开链接 最近碰到一个使用ThreadLocal时因为未调用remove 而险些引起内存溢出的问题 xff0c 所以看了下ThreadLocal的源码 xff0c 结合线程池原理做一个简单的分析 xff0c 确认是
  • JS判断日期范围(日期范围应在一个月之内)

    本文转自 xff1a 点击打开链接 之前的一个项目的日期选择功能由单个日期 xff0c 修改为日期范围 xff0c 用到了日期范围的判断 xff0c 使用JS实现 xff0c 希望对需要的人有所帮助 代码如下 xff1a var start
  • python视频教程大全集下载啦

    本文转自 xff1a 点击打开链接 python3英文视频教程 全87集 http pan baidu com s 1dDnGBvV python从入门到精通视频 xff08 全60集 xff09 链接 xff1a http pan bai
  • 如何关闭ubuntu alt快捷键

    本文转自 xff1a 点击打开链接 有时候发现ubuntu的alt快捷键真是太烦人了 xff0c 动不动就能把搜索框呼唤出来 xff0c 尤其是我在ubuntu上装了win7虚拟机 xff0c 喜欢用qq的alt 43 s发送消息 xff0
  • ubuntu安装原生迅雷,让下载成为简单

    今天想到电影天堂下点电影 xff0c 发现TM全都是迅雷链接 xff0c 旋风链接什么的 无奈自带的BT下载器速度又慢 xff0c 又满足不了日常的一些文件下载 于是乎google了下 xff0c 哎呀 xff0c 有个mldonkey的电
  • Android 采用fastboot刷system.img boot.img recovery.img’

    手机正常启动后 xff0c 命令行模式下输入 adb reboot bootloader 该命令会自动进入fastboot模式 接着 xff1a fastboot devices 查看是否有设备 erase 擦除的意思 xff0c 你懂得
  • Executors.newSingleThreadExecutor的一些坑

    还是直接上源码吧 public static ExecutorService newSingleThreadExecutor return new FinalizableDelegatedExecutorService new Thread
  • 学习AOP之透过Spring的Ioc理解Advisor

    本文转自 xff1a 点击打开链接 花了几天时间来学习Spring xff0c 突然明白一个问题 xff0c 就是看书不能让人理解Spring xff0c 一方面要结合使用场景 xff0c 另一方面要阅读源代码 xff0c 这种方式理解起来
  • 计算广告资料汇总

    papers 计算广告论文 学习资料 业界分享 王喆Paper Collection of Real Time Bidding Weinan Zhang计算广告干货整理 雪伦 在线课程 Introduction to Computation
  • 编辑器之神-vim的使用技巧

    vim VS emacs vim被誉为编辑器之神 xff0c 而emacs被誉为神之编辑器 中国文化博大精深 xff0c 他们究竟有什么区别呢 xff1f 作为emacs小白的我来说不想在这里献丑 xff0c 直接贴上一篇博客 xff0c
  • Linux离线环境安装bzip2

    1 下载离线安装包 bzip2 1 0 6 13 el7 x86 64 rpm http mirror centos org centos 7 os x86 64 Packages bzip2 1 0 6 13 el7 x86 64 rpm
  • Vivado使用与注意事项

    作者 QQ群 xff1a 852283276 微信 xff1a arm80x86 微信公众号 xff1a 青儿创客基地 B站 xff1a 主页 https space bilibili com 208826118 DRC INBB 3 Bl