vivado 中手动添加 pynq类型板

2023-10-27

  • 随着人工智能的火热,算法的并行计算越来越受到追捧,而pynq(=python+zynq)作为可以用python为高级语言变相调用fpga的模块的soc板也越来越受到人工智能和硬件相关学生的喜爱。
  • 而然,Vivado 2019之前的很多版本是默认不带pynq board的(包括某些2019的版本可能也没有)。但并不是就不能手动添加了,在这里来交大家如何手动添加pynqboard的文件,这样就能使用起来了。

(by the way:vivado hls中是沒有pynq的,因为只是综合也不需要非要选pynq board,在parts中选择xc7z020clg400-1即可)。

STEPS

  1. 在xilinx官网下载pynq板的文件 https://pynq.readthedocs.io/en/v2.3/overlay_design_methodology/board_settings.html
    进入后在vivado board files中选择板的型号。
    在vivado board files中选择板的型号
    这里选择z1板。点击即可下载。
    下载好的压缩包文件目录类似这样:
    在这里插入图片描述

  2. 将压缩包解压到D:\Xilinx\Vivado\2018.2\data\boards\board_files,即你的VIvado的安装路径下的data\boards\board_files中,像这样:
    在这里插入图片描述

  3. 重新启动Vivado 在选择board中就可找到pynq板了:
    在这里插入图片描述
    是不是也不是很难呢?对你有帮助的话,点个赞吧,亲

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

vivado 中手动添加 pynq类型板 的相关文章

  • xilinx xdma PCIe中断bug

    xilinx xdma PCIe中断存在bug bug1 此中断虽然是msi或者msx中断 但是不中断cpu bug2 此中断不是边沿中断 而是电平中断 在驱动层需要不断地轮训查询中断事件 bug3 此中断持续时间必须长 而且在收到中断应答
  • Selenium2+python自动化10-登录案例

    前言 前面几篇都是讲一些基础的定位方法 没具体的案例 小伙伴看起来比较枯燥 有不少小伙伴给小编提建议以后多出一些具体的案例 本篇就是拿部落论坛作为测试项目 写一个简单的登录测试脚本 在写登录脚本的时候呢 先要保证流程能跑起来 然后才是去想办
  • Compile Options--编译选项

    目的 其主要作用是用于调试跟踪和测试 主要包含 MT TASK MT ZDO FUNC and other MT compile options LCD SUPPORTED LCD SUPPORTED DEBUG BLINK LEDS 且看
  • 2023-02-21 好用的一款十六进制编辑器软件Hex Editor Neo ,以十六进制字节形式查看文件有字节

    一 Hex Editor Neo是一款十六进制编辑器软件 可以在几秒钟内处理大文件的操作 能够帮助用户编辑ASCII 十六进制 十进制 float double和二进制数据的应用程序 感觉比notepad的hex查看功能更强大 用notep
  • [HDLBits] Exams/ece241 2014 q7a

    Design a 1 12 counter with the following inputs and outputs Reset Synchronous active high reset that forces the counter
  • 【PIPE】流水线设计中的基本模块

    大概分成以下几节 1 概述及协议 2 valid forward valid超前 3 bubble collapse 消除气爆 4 input output skid 不知中文怎么说 5 pipe halt 流水停顿 6 idle pres
  • CISSP一次通过指南(文末附福利)

    2017年12月19日 在上海黄浦区汉口路亚洲大厦17层通过了CISSP认证考试 拖拉了一年 终于成绩还算令人满意 为攒人品将自己一年多的复习心得和大家分享 希望能够帮到需要考证的朋友 本文作者 i春秋签约作家 tinyfisher 欢迎与
  • 远程控制 ToDesk

    ToDesk 远程控制软件 支持跨平台的远程控制 有且不限于PC对PC iOS Android也可以直接控制 最近发现的一个好用的远程连接软件 也是近些年非常火热的 远程控制软件 ToDesk 虽然 QQ 和 向日葵 也都可以满足我们实现的
  • VHDL:按钮去抖动(或不去抖动,视情况而定)

    我已阅读其他帖子 但似乎无法修复我的 我是 VHDL 新手 所以我确信这是一个简单的修复 简而言之 按钮没有防抖 代码编译和比特流程序 在测试台中 按下按钮可以工作 但输出 LED 不会改变 在板上 按下按钮会使随机 LED 亮起 我猜是因
  • 异步FIFO设计之格雷码

    目录 二进制转格雷码 格雷码转二进制 相邻的格雷码只有1bit的差异 因此格雷码常常用于异步fifo设计中 保证afifo的读地址 或写地址 被写时钟 或读时钟 采样时最多只有1bit发生跳变 在不考虑路径延时的情况下 因为源数据 读写地址
  • 同时读取和写入寄存器

    我计划在 FPGA 上用 VHDL 设计一个类似 MIPS 的 CPU CPU 将具有经典的五级管道 没有转发和危险预防 在计算机体系结构课程中 我了解到第一个 MIPS CPU 用于在时钟上升沿读取寄存器文件并在时钟下降沿写入 我使用的F
  • VHDL门控时钟如何避免

    我收到了避免使用门控时钟的建议 因为它可能会导致松弛和时序限制问题 但我想问一下我可以认为什么是门控时钟 例如 此代码对时钟进行门控 因为 StopCount 对它进行门控 process ModuleCLK begin if rising
  • Linux驱动程序DMA传输到PC作为主机的PCIe卡

    我正在开发一个 DMA 例程 将数据从 PC 传输到 PCIe 卡上的 FPGA 我阅读了 DMA API txt 和 LDD3 ch 15 详细信息 但是 我不知道如何从 PC 到 PCIe 卡上的一致 iomem 块进行 DMA 传输
  • 如何使用 Verilog 和 FPGA 计算一系列组合电路的传播延迟?

    我是 FPGA 和 HDL 的新手 但我正在尝试学习 但无法弄清楚这一点 如何通过多个级别的组合逻辑来计算或估计传播延迟 我可以仅凭经验确定这一点 还是可以在设计时弄清楚 在这种情况下 我使用 FPGA 来实现奇偶校验设置和检查电路 该电路
  • verilog $readmemh 对于 50x50 像素 RGB 图像花费太多时间

    我正在尝试编译用于 FPGA 编程的 verilog 代码 我将在其中实现 VGA 应用程序 我使用 QuartusII 和 Altera 我正在尝试正确使用 readmemh 来逐像素获取图片 现在 我已经使用 matlab 将图片转换为
  • FPGA大输入数据

    我正在尝试向 FPGA 发送 4 KB 字符串 最简单的方法是什么 是我正在使用的fpga的链接 我正在使用 Verilog 和 Quartus 您的问题的答案在很大程度上取决于将数据输入 FPGA 的内容 即使没有您需要遵守的特定协议 S
  • 从 OpenCV 代码到 FPGA 代码的转换是否比 Matlab 代码更容易? [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我想做一个关于图像处理的项目 我想知道如果我想在FPGA上实现这个项目 我应该在第一阶段选择Matla
  • 如何从 Spartan 6 写入 Nexys 3 FPGA 板上的 Micron 外部蜂窝 RAM?

    我到处都查过了 数据表 Xilinx 网站 digilent 等等 但什么也没找到 我能够使用 Adept 工具来验证我的蜂窝 RAM 是否正常运行 但我找不到任何库存 VHDL 代码作为控制器来写入数据和从中读取数据 帮助 找到了此链接
  • 学习 Verilog 的资源 [关闭]

    Closed 这个问题正在寻求书籍 工具 软件库等的推荐 不满足堆栈溢出指南 help closed questions 目前不接受答案 我是 Verilog 新手 有人可以推荐学习资源 书籍 视频 博客或任何他们有良好个人经验并帮助他们更
  • VHDL 中奇怪的 XNOR 行为

    导致问题的代码看起来像正常的 xnor 操作 如下所示 S 1 lt L 16 xnor L 26 该行会导致以下错误 ncvhdl p E EXPSMI HDL aes sbox enc depth16 vhd 169 14 expect

随机推荐

  • 小鸡c++/python配置v5的环境问题

    改配置文件 解决SDK问题 SDK问题解决 解决VS2017 error LNK1104 无法打开文件 msvcprtd lib msvcprtd lib 错误 LNK1104 无法打开文件 kernel32 lib YoloV5Detec
  • spring boot(4)-html和templates

    静态页面 spring boot项目只有src目录 没有webapp目录 会将静态访问 html 图片等 映射到其自动配置的静态目录 如下 static public resources META INF resources 比如 在res
  • python中sql相关(查询、更新),以及sql参数化

    python连接数据库 查询 更新 import pymysql def beta mysql connect pymysql Connect host 1 1 1 1 port 3906 user user passwd pwd db d
  • <QT开发> QT开发工具-之-QT应用程序打包

    QT开发 QT开发工具 之 QT应用程序打包 一 前言 笔者为什么会写这篇文章呢 这是因为 笔者使用windows QT开发了一个测试工具 目的是通过TCP IP测试其它应用程序 首先这个QT程序是笔者自己开发的 所以笔者的电脑当然是可以运
  • Elasticsearch Unable to parse response body HTTP/1.1 413 Request Entity Too Large问题解决

    ERROR o s a i SimpleAsyncUncaughtExceptionHandler Unexpected exception occurred invoking async method public void org zs
  • 使用docker运行mysql:5.7

    本文档使用docker容器运行mysql 5 7版本 2 1 docker拉取mysql 5 7镜像 docker pull mysql 5 7 2 2 查看镜像是否拉取成功 docker image ls 2 3 启动mysql 5 7
  • [网络安全自学篇] 十五.Python攻防之多线程、C段扫描和数据库编程(二)

    这是作者的系列网络安全自学教程 主要是关于网安工具和实践操作的在线笔记 特分享出来与博友共勉 希望您们喜欢 一起进步 前文分享了Python网络攻防相关基础知识 包括正则表达式 Web编程和套接字通信 本文将继续分析Python攻防之多线程
  • 第十四届蓝桥杯省赛C++B组个人代码(未检验)

    2023 年 4 月 8 日是蓝桥杯省赛 今年我参加的是 C 组 B 组 虽然说打得不是很理想 不过好在个人感觉省一问题不是很大 反正只要是省一对得多对得少都一样 比赛中的代码是没法保存的 所以我借着新鲜的记忆 重新把我会写的题的代码都码了
  • pycharm,idea,clion的配置

    html 运行的快捷键 alt f2 的位置 这里修改快捷键为 ctrl alt 0 转载于 https www cnblogs com zach0812 p 11517247 html
  • Cookie实现自动登录案例实现

    大家好 我是一名入门的菜鸟 如果你不经意间翻开了我的文章 谢谢您 您的支持是我前进的动力 让我们一起加油 由于不是名牌大学 只是一个普普通通的专科生 所以 我想通过自己的努力来获得我想要的 我不会放弃我的梦想 我也曾幻想着我成功的时候在朋友
  • 利用Graphviz画神经网络框架图

    文章目录 前言 dot画神经网络图 简单神经网络 大型神经网络 伪 Python 画神经网络图 大型神经网络 前言 做了神经网络这么久 偶尔想画一下自己模型的架构图 但是又无从下手 因为网络一般都比较复杂 如果自己手动利用Visio画的画又
  • Windows自带的超强命令行磁盘管理工具

    不知道大家在装Windows系统的时候 使用原版安装的多不多 GHOST版系统是个好东西 能够让不懂计算机的操作者能够一键装好系统 并且GHOST版的制作大神们还针对系统做了非常多的优化操作 虽然 优化 这个词最近才有了一些恐怖的意思 不过
  • Linux服务器挂载ntfs移动硬盘

    Linux服务器挂载ntfs移动硬盘 转载来源 本文链接 https blog csdn net wojiuwangla article details 81029603 服务器上的一些移动硬盘需要备份到数据 公司买了个500G的机房 带上
  • 【Windows + Linux】专业级:安装操作系统 + 多系统 + GhostCast Server PXE 网刻基础坑精通详解

    目录 前言 1 BIOS 和 UEFI 与 MBR 和 GPT 的装机简述 GPT MBR 硬盘分区表模式 BOOT 引导流程 启动管理器 如何选择一种 BOOT Mode 启动模式 Legacy UEFI 总结 BIOS 设置的硬盘模式
  • Android 报错问题总结(持续更新中)

    1 解决Failed to load the JNI shared library jvm dll 很有可能是Eclipse版本和jdk版本不一致 一般在Windows 7 64位系统下 32位的jdk存放在Program Files x8
  • 记录一次swagger空指针异常

    昨日编码过程中 突然间发现swagger文档页面打不开了 后台报空指针 注销了所有新写的代码后 发现了问题 是疏忽大意导致的 原因是 方法类中的Vo字段名和ApiImplicitParam中name值不同 真是粗心大意害死人啊 还好没提交
  • Nodejs制作自定义中间件

    对于Nodejs的中间件我想各位小伙伴都有所了解 下边我就以制作一个自定义的处理表单数据的中间件为例 告诉大家如何自作一个属于自己的自定义中间件 1 创建一个util js文件 用于封装编写自定义的表单数据处理中间件 导入querystri
  • 数据库的读写锁

    今天看数据库事务的ACID时 涉及到了并发下的读写锁 由于课程上了太久也没太多印象了 一开始就照着多线程的字面意思去理解 读的时候加锁和写的时候加锁 但是根据这个理解后面的逻辑变得很奇怪 因此重新复习了一下读 共享 锁和写 排他 锁 写锁
  • 在外包干了三年,我废了..… 不吹不黑!

    往期热门文章 1 用鸿蒙跑了个 hello world2 还在写大量 if 来判断 试试用一个规则执行器来替代它3 Spring Boot中的线程池 你真的会用么 4 重磅推荐几个接私活的脚手架利器 5 MySQL究竟是怎么执行的 看完终于
  • vivado 中手动添加 pynq类型板

    随着人工智能的火热 算法的并行计算越来越受到追捧 而pynq python zynq 作为可以用python为高级语言变相调用fpga的模块的soc板也越来越受到人工智能和硬件相关学生的喜爱 而然 Vivado 2019之前的很多版本是默认