我有 Xilinx Spartan6 和下一个 VHDL 代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Switches_Leds is
Port (switch_0: in STD_LOGIC;
LED_0 : out STD_LOGIC);
end Switches_Leds;
architecture Behavioral of Switches_Leds is
begin
LED_0 <= switch_0;
end;
这是我的用户约束文件:
NET "switch_0" LOC = C3;
NET "LED_0" LOC = P4;
我的问题是:为什么 LED 总是亮着,但如果我单击按钮,LED 就会熄灭?
我解释一下:我对我的 FPGA 进行编程 - LED 打开,我按下开关按钮 - LED 关闭,我松开按钮 - LED 亮起。
反转可以发生在两个地方:
这完全取决于它们如何连接。我做了一个图表:
(必须绘制它,因为我们这里没有 EE 网站上的原理图编辑器)
如果您看一下图表:按钮可以通过两种方式连接。它们在被推动时可以产生高电平,也可以产生低电平。
LED 还可以通过两种方式连接:输出高时亮,输出低时亮。
这为您提供了四种组合,其中两种组合在按下按钮时 LED 亮起,两种组合在按下按钮时 LED 熄灭。
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)