vcs编译vivado原语:

2023-11-09

使用vcs+verdi问题较少;

使用vlogan+vcs+verdi三步编译:

vlogan:

vlogan -V -sverilgo -ignore unique_checks +libext+.sv+.vp+.vlib+.svh -l ./vlogan_compile.log -no_error MPD -timescale=1ns/1ps -full64 +v2k -kdb -lca $(youself_filelist)

vcs:

vcs -licqueue -ignore unique_checks -l ./vcs_compile.log -timescale=1ns/1ps -full64 +vcs+llic+wait -debug_access+all -lca -sverilog +lint=TFIPC-L -kdb -top top -top glbl -error=noMPD

verdi:

verdi -dbdir simv.daidir -top top -sv -2001 -ssf test.fsdb &

注:vcs编译报错:xilinx原语

Error found while trying to resolve cross-module reference.   token 'glbl'.  Originating module 'DCM_ADV'.   Source info: assign GSR = glbl.GSR;原因是调用glbl时出现问题解决办法在vcs中添加一行-top glbl。具体原因自行google。

vcs编译vivado工程,还需要再生成vivado.f进行编译。

Vivado compile_simulation时报错:

ERROR: [vivado 12-5602] compile_simlib failed to compile for vcs_mx with error in 136 libraries (cxl_error.log)

ERROR: [Common 17-39] 'compile_simlib' failed due to earlier errors.

错误原因:

1.所用vcs版本和vivado ug900中,page 225(左右)推荐的版本不一致;

2.若版本一致依旧报错,且打开cxl_error.log发现错误均为编译IP时systemc报错,指出gcc版本不对,且发现当前环境脚本没有设置VG_GUN变量。

解决办法:

1.不使用systemc进行IP的编译,其实xilinx大部分IP均不会使用到c语言进行编译。除非调用了ARM core(zynq器件等仿真环境);在tcl中用命令行的方式进行compile_sim,具体的命令行其实在Tool->compile_sim;界面可以看到。在tcl命令行最后添加一个-no_systemc_project选项进行编译。之后export,解决。

2.非要用systemc进行IP编译,可以查看vcs_release_note.pdf文档中关于vg_gun_package的安装。在slovnet下载好之后直接解压,之后添加VG_GNU_Package 变量。source对应的gcc版本即可。之后再次运行Tool->compile_sim。

 

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

vcs编译vivado原语: 的相关文章

  • DDR3学习总结(一)

    简介 DDR3 SDRAM常 简称 DDR3 是当今较为常见的一种储存器 在计算机及嵌入式产品中得到广泛应用 特别是应用在涉及到大量数据交互的场合 比如电脑的内存条 对DDR3的读写操作大都借助IP核来完成 本次实验将采用 Xilinx公司
  • 【Xilinx Vivado时序分析/约束系列6】FPGA开发时序分析/约束-IO时序输入延时

    目录 源同步FPGA输入时序分析的模型 input delay约束 极限input delay 往期系列博客 源同步FPGA输入时序分析的模型 以下为源同步FPGA输入时序分析的模型的示意图 在之前的文章中介绍过 在此介绍一下各个时钟延时的
  • 二、RISC-V SoC内核注解——译码 代码讲解

    tinyriscv这个SoC工程的内核cpu部分 采用经典的三级流水线结构进行设计 即大家所熟知的 取值 gt 译码 gt 执行三级流水线 另外 在最后一个章节中会上传额外添加详细注释的工程代码 完全开源 如有需要可自行下载 上一篇博文中注
  • DEBUG:Generate Bitstream失败

    问题 约束失败 解决 确保IO初始化引脚正确 和选择合适的电平
  • verilog中wire和reg类型的区别

    module counter parameter CNT MAX 25 d24 999 999 input wire sys clk input wire sys rst n output reg led out reg 24 0 cnt
  • 最详细的Vivado安装教程

    V i v a d o 安 装
  • 上拉电阻和下拉电阻

    一 定义 上拉电阻 将一个不确定的信号 通过一个电阻与电源VCC相连 固定在高电平 下拉电阻 将一个不确定的信号 通过一个电阻与地GND相连 固定在低电平 二 作用 提高输出信号驱动能力 确定输入信号电平 防干扰 限流 阻抗匹配 抗回波干扰
  • FIFO读写控制

    如果在两个模块之间传输数据 两个模块之间的处理速率不同 会导致采集数据的遗漏或错误 在他们之间加一个数据缓存器 所有数据先经过缓存器缓存 再输入数据接送模块 创建两个模块 一个 作为发送模块 一个作为接受模块 发送模块检测到 fifo为空开
  • 【Xilinx DDR3 MIG】Xilinx FPGA DDR3读写实验相关用户接口引脚解释

    目录 DDR3读写实验 实验框图 时钟模块 DDR3读写及LED指示模块 MIG IP核 用户接口解释
  • 握手2倍速率进,一倍速率出[verilog]

    module two to one parameter WORD LEN 33 input clk input arst input 2 WORD LEN 1 0 i din input i din valid output o din r
  • FPGA提示产生latch的报错

    在fpga的设计中有时会遇到 latch 的报错 1 latch是什么 Latch 就是锁存器 是一种在异步电路系统中 对输入信号电平敏感的单元 用来存储信息 锁存器在数据锁存使能时 数据被锁存 输入信号不起作用 这违背了组合逻辑中输出随输
  • FPGA硬件工程师Verilog面试题(基础篇二)

    作者简介 大家好我是 嵌入式基地 是一名嵌入式工程师 希望一起努力 一起进步 个人主页 嵌入式基地 系列专栏 FPGA Verilog 习题专栏 微信公众号 嵌入式基地 FPGA硬件工程师Verilog面试题 二 习题一 多功能数据处理器
  • 八段数码管动态显示(输入数据为BCD编码)

    八段数码管动态显示 输入数据为BCD编码 一 数码管概述 图1 八段共阴数码管内部等效原理图 图2 八段共阳数码管内部等效原理图 上面两图分别是对应八段共阴 共阳的数码管内部等效图 共阴是将八个LED数码管的阴极连接在一起接低 阳极segm
  • IC数字后端

    在 innovus 里面 有时候我们需要控制 tie cell 的 fanout 和 net length 来避免 tie cell 可能出现 max transition 或者 max fanout 的违例 一般来说 只要 fanout
  • TestBench编写_激励产生

    TestBench编写 激励产生 TestBench编写 激励产生 基本背景 读取函数介绍 a fopen函数使用 b fread函数使用 c fclose函数使用 实际使用 TestBench编写 激励产生 基本背景 最近遇到项目中需要对
  • BUCK电路分析(二)

    BUCK电路分析 二 PSIM仿真同步BUCK电路 在上片文章中 初步的分析了BUCK电路的工作原理 本章使用PSIM软件仿真BUCK电路 观察分析BUCK电路器件关键波形 图1是同步BUCK电路图 开关频率设置为200K 固定占空比 在仿
  • 基于FPGA的AHT10传感器温湿度读取

    文章目录 一 系统框架 二 i2c接口 三 i2c控制模块 状态机设计 状态转移图 START INIT CHECK INIT IDLE TRIGGER WAIT READ 代码 四 数据处理模块 串口 代码 五 仿真 testbench设
  • 【ZYNQ学习】PL第一课

    这节课讲什么 这节课的名字本来是想写为LED 但这一课里除了LED也有按键 又想换为GPIO控制 但关于PL的GPIO控制 不应该这么草率和简单 而且这一课有很多和ZYNQ或者PL关联性不强的东西要说 所以我写了删删了写改了好几遍 终于定为
  • Matlab图像处理系列——图像复原之噪声模型仿真

    微信公众号上线 搜索公众号 小灰灰的FPGA 关注可获取相关源码 定期更新有关FPGA的项目以及开源项目源码 包括但不限于各类检测芯片驱动 低速接口驱动 高速接口驱动 数据信号处理 图像处理以及AXI总线等 本节目录 一 图像复原的模型 二
  • DSCA190V 57310001-PK

    DSCA190V 57310001 PK DSCA190V 57310001 PK 具有两个可编程继电器功能 并安装在坚固的 XP 外壳中 DSCA190V 57310001 PK 即可使用 只需最少的最终用户校准 DSCA190V 573

随机推荐

  • 内网穿透NPS及NPC搭建(使用docker实现)

    客户端及服务端下载 NPS 1 启动NPS服务器容器 端口映射需要注意 docker run td rm p 10180 8080 p 10124 8024 p 10150 10179 10150 10179 name nps q01231
  • 遥感NDVI估算植被覆盖度

    遥感NDVI估算植被覆盖度 植被覆盖度是指植被 包括叶 茎 枝 在地面的垂直投影面积占统计区总面积的百分比 容易与植被覆盖度混淆的概念是植被盖度 植被盖度是指植被冠层或叶面在地面的垂直投影面积占植被区总面积的比例 两个概念主要区别就是分母不
  • php+redis实现对200w用户的即时推送服务

    欢迎加入 新群号码 99640845 怎么实现对200w用户的即时推送 这个推送可以理解为调用第三方的接口 push sms之类的东西 当时先写了一个demo 直接读取DB然后单个推送 结果 可想而知 于是设计一套基于redis php多进
  • EfficientNet的解读与Tensorflow 2.0实现

    EfficientNet论文解读 Efficient Net是Google在2019年发表的一篇论文 系统的研究了如何在给定资源的条件下 如何平衡扩展网络的深度 广度以及图像的分辨率这三者的关系 来取得最好的图像识别精度 作者提出了一种新的
  • part1:推荐一些适合练手、课程设计、毕业设计的python小项目源码,无任何下载门槛

    人生苦短 我用python 随着python这些年的流行 很多人开始使用python来实现各种功能 下面推荐一些适合用来练手 大学生课程设计作业 大学生毕业设计的python小项目 尤其适合新手 源码 说明文档 打包后的exe文件 都已经被
  • MCP2515独立控制器

    1 简介 MCP2515 是一款独立控制器局域网络 Controller Area Network CAN 协议控制器 完全支持 CAN V2 0B 技术规范 该器件能发送和接收标准和扩展数据帧以及远程帧 MCP2515 自带的两个验收屏蔽
  • GPU pytorch 1.4.0 cuda 10.1 安装

    安装版本 pytorch 1 4 0 torchvision 0 5 0 cudatoolkit 10 1 pytorch官网 第一步 安装 conda 镜像通道 conda config add channels https mirror
  • QT5开发

    摘要 Qt5主窗口是大部分Qt应用使用的基本界面 常见应用都会通过对主窗口进行界面布局来实现 一 QT5主窗口构成 1 基本元素 QMainWindow是一个为用户提供主窗口程序的类 包含一个菜单栏 menubar 多个工具栏 tool b
  • SpringMvc学习-2-Spring MVC 的核心组件

    Spring MVC 的核心组件 DispatcherServlet 核心处理器 也叫前端控制器 负责调度其他组件的执行 可降低不同组件之间的耦合性 是整个 Spring MVC 的核心模块 Handler 处理器 完成具体业务逻辑 相当于
  • Java 8: 从永久代(PermGen)到元空间(Metaspace)

    正如大家所知 JDK 8 Early Access版已经提供下载 这使开发者可以体验Java8的新特性 其中之一 是Oracle从JDK7发布以来就一直宣称的要完全移除永久代空间 例如 字符串内部池 已经在JDK7中从永久代中移除 JDK8
  • STM32题目项目汇总 - 100例

    文章目录 1前言 2 STM32 毕设课题 3 如何选题 3 1 不要给自己挖坑 3 2 难度把控 3 3 如何命名题目 1前言 更新单片机嵌入式选题后 不少学弟学妹催学长更新STM32和C51选题系列 感谢大家的认可 来啦 以下是学长亲手
  • 一点小记录

    看到一篇介绍vue plugin pages 很好的文章 懒癌福利 一种全新的路由组织方式 基于 vite 的插件介绍 他还有个github地址 try vite plugins 这里截图记录一下
  • Arm Linux 内存管理(一)————开启MMU

    首先我们根据vmlinux lds可以找到内核入口函数为 stext 我们就直接从stext开始 主要干了几件事情 1 safe svcmode maskall r9 设置CPU运行模式为SVC 并关中断 2 bl vet atags 验证
  • Spring 快速入门案例

    Spring框架参考文档 什么是Spring Spring是一个轻量级的开源框架 它是为简化企业级应用开发而生 使用Spring可以使简单的JavaBean实现以前只有EJB才能实现的功能 Spring有哪些优点 方便解耦 简化开发 Spr
  • 源代码主干分支开发四大模式

    作者 张克强 作者微博 张克强 敏捷307 1 先锋主干多稳定分支 2 守护主干多先锋分支 3 主干无分支 4 守护主干单分支 一 先锋主干多稳定分支 得到一个稳定版本后 将此稳定版本放到一个新分支上 针对此稳定版本的修修补补就在这个分支上
  • python数据绘图-折线图(matplotlib.pyplot)

    安装matplotlib pyplot模块 pip install matplotlib 导入模块 import matplotlib pyplot as plt 创建画布 可省略 matplotlib所绘制的图像都位于画布 figure
  • 【U盘量产工具】windows无法完成格式化——芯邦主控CBM2098S

    2022 2 15 前言 这次我爸又让我修U盘了 起因是他买了一个条形的音响 插U盘可以放歌 但是插上后却啥反应也没有 于是我拿来试了一下 参考另外一篇 U盘量产工具 热插拔导致U盘进入写保护 安国主控AU6989SN GT 解决 插上后就
  • Nature最新封面:两大数学难题被AI突破!DeepMind YYDS

    点击 凹凸域 马上关注 更多内容 请置顶或星标 量子位 报道 公众号 QbitAI 现在 AI不仅能参与数学研究 甚至还快人一步 开始帮助人类提出数学猜想了 就在今天 这只由DeepMind与顶级数学家合作研发的AI 登上了最新一期Natu
  • Nginx 常用命令及"nginx -s reload"重载未生效问题

    1 启动Nginx start nginx windows下 service nginx start linux mac下 2 关闭Nginx nginx s stop 立即停止nginx 不保存相关信息 或 nginx s quit 正常
  • vcs编译vivado原语:

    使用vcs verdi问题较少 使用vlogan vcs verdi三步编译 vlogan vlogan V sverilgo ignore unique checks libext sv vp vlib svh l vlogan comp