AVALON-MM接口

2023-11-05

AVALON接口分为两类:一种是AVALON-MM接口,一般称为MM接口,另一种是AVALON-ST接口,刚出来的一种新型接口。

MM接口,通过地址读写数据,更多的是用在控制上面,ST接口用于点到点的流数据接口,更多的可以用在有高速通过率的模块中。一个SOPC系统中,可以有多个MM接口,也可以同时存在多个ST接口。与PCI(外设接口互相标准)接口不同,PCI是铁路轨道,不可以同时有两路数据同时传输,而AVALON接口好像高速公路(比如8个车道。。),可以有多路并行数据的传输,但是当两个数据同时到一个目的地时,需要一个仲裁机制。

AVALON-MM接口分为主接口和从接口,无论是读写操作。都是主接口发出命令,从接口被动执行。

一、AVALON-MM  slave port(主端口)

引用《大话FPGA》的原话:在美眉面前,MM就是主接口,追MM的那个傻老爷们就是从接口。所以我们先介绍一下这个傻老爷们-从接口。所谓MM从接口,就是美眉向你提出要求。要你去拿点东西(read),或者存点东西(write)。MM的命令一定要执行,不过有不同的执行方法。

①、非定时传输

信号组合如上,不再一一介绍。传输操作如下:MM要你做事情的同时,你给他一块牌子,叫做waitrequest(置高)。表示让MM等待。等到你完成MM的任务,拿出东西或存好东西后,把牌子取回来,叫做waitrequest(置低)。MM就高高兴兴地走了,在你完成任务以前,MM会一直傻傻地等待着。(保持读写状态)。
②定延迟传输操作
在这种情况下,MM对你狠是了解了,她很确定的知道你取东西或者存东西需要的时间。所以就不需要waitrequest的牌子了。她发出命令后,等待响应的时间。她就高高兴兴地走了。
③非定时 流水操作
这种情况适合于MM只拿东西,不适合送东西的情况。这个MM比较残暴,她没耐心等你把东西拿出来才发出下一个要求。她会一直发要求,虽然她不知道可能会花多少时间去拿来。所以她可以每个时钟都发出拿东西的要求,告诉你地址,你就不断的区出来。由于可能回来的时间是不同的,你需要提醒一下她东西来了,将readdatavalid置高。在这里我们确保发出的地址请求和回来东西的顺序是一直的。否则,MM会翻脸。
这样效率会高很多,当然我们需要一点反抗精神。当我们很忙或者想罢工的时候,我们就递给她一块waitrequest的牌子。在这个阶段,MM只能眼巴巴地等待
④定时流水操作、
这是一个对你比较了解的残暴MM,她知道你需要多少时间去拿回东西(readwaittime),所以readdatavalid就不需要了.但是waitrequest还是需要的。所以她需要的等待时间是waitrequest+readwaittime.
⑤、批处理套装
这是一个比较内向的MM。她不喜欢不厌其烦的告诉每次操作的地址,她只是告诉第一次的位置,和希望拿(放)多少东西就好了。所以叫做批处理。
批处理写操作:写的时候,首先会有一个beginbursttransfer命令。随着这个命令,会告诉逆地址,数据以及批处理的数量(burstcount)。waitrequest对于begintransfer信号无效。不管是否有waitrequest,她都会告诉你批处理的信息。但是地址,burstcount,,写信号以及写的数据,必须保持到waitrequest撤销的时候。在第一个数据以后的传递中,她不再告诉你地址和数量,只要告诉你放和要放什么东西(writedata)就好
批处理读操作:与读操作一样,拿东西的指令也是有beginbursttransfer发出的。依然告诉你读的地址。但在读的时候,不需要等到数据返回,可以继续发起下一次操作。而拿回来东西的时候,需要一个readdatavalid的牌子告诉一下
⑥、流控
我们也需要提高一些服务质量。有些情况是MM不知道的。比如,我这个抽屉里现在是空的,还是满的。如果为空,就不能来拿东西(read),如果是满的,就不能再塞东西了(write)。所以,我们需要用datavaliabe来表示,现在的抽屉是否为空,用readyfordata表示抽屉是否为满。
二、AVALON MM master port
了解了从端口的情况,对于主端口也可以知道个大概了。这里需要注意的是,主从端口并不是直接连接的,中间会有一个Avalon fabric switch的东西。他从中进行调整和一些自动加入的控制逻辑。这里我们主要说一下两个信号:arbiterlock,flush.
Arbiterlock,锁定仲裁。你在帮几个MM做事,其中有个MM比较霸道,于是她举起arbiterlock的牌子,那么在一定时间里,你就被她所独享了。
flush,流水线读的状态下。他给你一个请求,要你去拿一堆东西。可是突然她说不要了,举起这个flush的牌子。于是在这之前发出的要求被取消。
本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

AVALON-MM接口 的相关文章

  • Quartus II 安装

    本次介绍使用的 Quartus 版本为 10 1 目前 Quartus II 官网已经没有 13 1 以下版本的安装包 大家可以安装 13 1 以上版本的软件 功能都是大同小异 下载地址 FPGA Software Download Cen
  • Verilog--CDC跨时钟域处理(快时钟域到慢时钟域)

    Verilog CDC跨时钟域处理 快时钟域到慢时钟域 CDC问题 单比特信号的跨时钟域问题 从快时钟域到慢时钟域 从慢时钟域到快时钟域 多比特信号的跨时钟域问题 异步FIFO 握手协议 DMUX 格雷码 双D触发器 今天先写单比特信号从快
  • STA(静态时序分析) 详解:如何计算最大时钟频率,以及判断电路是否出现时钟违例(timing violation)?

    1 什么是STA STA 静态时序分析 是时序验证的一种方法 用于计算和分析电路是否满足时序约束的要求 2 为什么需要STA 电路能否正常工作 其本质上是受最长逻辑通路 即关键路径 的限制 以及受芯片中存储器件的物理约束或工作环境的影响 为
  • SmartFusion从FPGA到ARM(四)——MSS_TIMER定时器的使用

    文章目录 1 定时器资源简介 2 MSS TIMER库函数简介 3 简单的周期性中断 4 自定义产生波形 5 64位定时器的使用 6 单次中断模式 系列教程 SmartFusion从FPGA到ARM系列教程 1 定时器资源简介 SmartF
  • Xilinx ISE系列教程(9):LabTools下载、安装、使用教程(独立的下载工具)

    文章目录 1 ISE Vivado LabTools简介 2 ISE 14 7 Lab Tools下载 安装 3 Vivado 2018 3 LabTools下载 安装 1 ISE Vivado LabTools简介 Xilinx LabT
  • 用Vscode编辑verilog代码配置

    这篇教程感觉很详细了 我这里分享一下vscode和插件的安装包链接 都是官网下载的 放心食用 用VSCode编辑verilog代码 iverilog编译 自动例化 自动补全 自动格式化等常用插件 链接 https pan baidu com
  • HDLBits刷题_Verilog Language_Procedures_Alwaysblock1

    学习内容 Since digital circuits are composed of logic gates connected with wires any circuit can be expressed as some combin
  • Verilog的基础知识

    Verilog的基本介绍 硬件描述语言发展至今已有二十多年历史 当今业界的标准中 IEEE标准 主要有VHDL和Verilog HDL 这两种硬件描述语言 一个设计往往从系统级设计开始 把系统划分成几个大的基本的功能模块 每个功能模块再按一
  • 【数字IC】从零开始的Verilog SPI设计

    从零开始的Verilog SPI协议设计 一 写在前面 1 1 协议标准 1 2 数字IC组件代码 1 3 设计要求 1 4 其他协议解读 1 4 1 UART协议 1 4 2 SPI协议 1 4 3 I2C协议 1 4 4 AXI协议 二
  • FPGA学习笔记(一)__电平知识

    常见电平标准 文章目录 1 TTL电平标准 2 LVTTL电平标准 1 LVTTL3V3 2 LVTTL2V5 3 CMOS电平标准 4 LVCOMS电平标准 1 LVCOMS3V3 2 LVCOMS2V5 3 LVCOMS1V8 4 LV
  • FPGA实现VGA显示图片

    利用FPGA在带有VGA接口的液晶显示器上显示图片 电路原理图 端口说明 VGA R2 VGAB0的8个端口位VGA的RGB数据位 VGA HS为行同步信号 VGA VS为场同步信号 以分辨率为640x480为例 刷新速率为60Hz 每幅图
  • Spartan-3E 上的随机数生成

    我需要在 Spartan 3E FPGA 上为我的遗传算法生成伪随机数 并且我想在 verilog 中实现它 您能给我任何关于此的指示吗 当然 Adam 的随机生成器是不可合成的 您必须显式创建一个LFSR 以下示例可能会有所帮助 它是一个
  • 在 C 中操作 80 位数据类型

    我正在用 C 实现一些加密算法 其中涉及 80 位密钥 特定操作涉及将密钥旋转移位 x 个位数 我已经尝试过 long double 类型 如果我没记错的话 它是 80 位 但这不适用于位移运算符 我能想到的唯一替代方案是使用 10 个元素
  • 异步FIFO设计之格雷码

    目录 二进制转格雷码 格雷码转二进制 相邻的格雷码只有1bit的差异 因此格雷码常常用于异步fifo设计中 保证afifo的读地址 或写地址 被写时钟 或读时钟 采样时最多只有1bit发生跳变 在不考虑路径延时的情况下 因为源数据 读写地址
  • 用于 Verilog 或 SystemVerilog 的 TAP(测试任何协议)模块

    是否有 TAP 测试任何协议 http testanything org Verilog 的实现 那就太好了 因为这样我就可以使用证明来自动检查我的结果 更新 10 9 09 有人问为什么不使用断言 部分 TAP 为我提供了一些很好的报告
  • 同时读取和写入寄存器

    我计划在 FPGA 上用 VHDL 设计一个类似 MIPS 的 CPU CPU 将具有经典的五级管道 没有转发和危险预防 在计算机体系结构课程中 我了解到第一个 MIPS CPU 用于在时钟上升沿读取寄存器文件并在时钟下降沿写入 我使用的F
  • VHDL - PhysDesignRules:367

    当我尝试从 VHDL 代码合成 实现和生成程序文件时 我收到警告 当我尝试合成时出现此错误 WARNING Xst 647 Input
  • PyOpenCL 中的时间测量

    我正在 FPGA 和 GPU 中使用 PyOpenCL 运行内核 为了测量执行所需的时间 我使用 t1 time event mykernel queue c width c height block size block size d c
  • VHDL 中的 BRAM_INIT

    我正在模拟基于处理器的设计 其中程序存储器内容保存在 BRAM 中 我正在使用 VHDL 推断 BRAM 实现程序存储器 我试图避免使用 CoreGen 因为我想保持设计的可移植性 最终该设计将进入 FPGA 我想看看是否有一种方法可以使用
  • VHDL 中奇怪的 XNOR 行为

    导致问题的代码看起来像正常的 xnor 操作 如下所示 S 1 lt L 16 xnor L 26 该行会导致以下错误 ncvhdl p E EXPSMI HDL aes sbox enc depth16 vhd 169 14 expect

随机推荐

  • 大数据手册(Spark)--Spark基础知识(PySpark版)

    文章目录 Spark 初始化 弹性分布式数据集 RDD DataFrame Spark安装配置 Spark基本概念 Spark基础知识 PySpark版 Spark机器学习 PySpark版 Spark流数据处理 PySpark版 Spar
  • Python-netfilterqueue(白帽)[netfilterqueue怎么安装在kali中?][iptables怎么用]

    一 环境安装 前要 使用python3 6以上版本安装netfilterqueue会出现报错无法安装 1 安装python3 6 前提 本机已有python3 9 在此前提下安装python3 6 问题 中间有什么报错无法进行 一般是小问题
  • C语言自动抓取淘宝商品详情网页数据,实现轻松高效爬虫

    你是否曾经遇到过需要大量获取网页上的数据 但手动复制粘贴又太过费时费力 那么这篇文章就是为你而写 今天我们将会详细讨论如何使用C语言实现自动抓取网页上的数据 本文将会从以下8个方面进行逐步分析讨论 1 HTTP协议的基本原理 在开始之前 我
  • Java 自动单元测试生成框架

    推广博客 Java 自动单元测试生成框架
  • STC15单片机自带的AD功能的使用

    一 什么是ADC DAC ADC Analog to Digital Converter的缩写 意思是模 数转换器 实现把模拟信号转变为数字量的设备称为模 数 A D 转换器 简称ADC 实现把数字量转变为模拟量的设备称为数 模 D A 转
  • PHP 登录注册附带邮箱手机号验证

    原创 请勿转载 PHP 登录注册页面 前言 一 配置数据库文件 二 登录注册代码段 1 登录 2 注册 3 主页 4 注销 总结 前言 php简单的登录页面与注册页面 有邮箱手机号验证 源码在github上 https github com
  • 双亲委派机制

    JVM双亲委派机制 JVM预定义的三种类型类加载器 定义 类加载器 ClassLoader 是Java语言的一项创新 也是Java流行的一个重要原因 在类加载的第一阶段 加载 过程中 需要通过一个类的全限定名来获取定义此类的二进制字节流 完
  • 关于SQL注入报错:Illegal mix of collations for operation ‘UNION‘原因剖析与验证

    关于SQL注入报错 Illegal mix of collations for operation UNION 原因剖析与验证 今天练习了一下DVWA的SQL注入模块 使用了union注入时报错如下 Illegal mix of colla
  • 解决“vue-router子路由默认视图不显示”问题

    今天在看Vue学习视频 老师讲解vue router多级路由的使用 在写完视频里的例子后 自己测试发现一个小问题 当时视频里没有提及 我是用name实现的路由之间的切换 其中一个有子路由 发现子路由的默认显示没有了 第一次默认有 第二次点击
  • JVM GC算法 CMS 详解(转)

    前言 CMS 全称Concurrent Low Pause Collector 是jdk1 4后期版本开始引入的新gc算法 在jdk5和jdk6中得到了进一步改进 它的主要适合场景是对响应时间的重要性需求 大于对吞吐量的要求 能够承受垃圾回
  • Python标准库asyncio模块基本原理浅析

    Python标准库asyncio模块基本原理浅析 本文环境python3 7 0 asyncio模块的实现思路 当前编程语言都开始在语言层面上 开始简化对异步程序的编程过程 其中Python中也开始了在语言层面上对异步编程的简化 特地使用了
  • Redis分布式锁的实现(Jedis和Redisson两个方案)

    应用场景 分布式锁主要用于解决 公司中不同业务系统对同一功能的数据产生脏读或重复插入 比如公司现有三个小组分别开发WAP站 小程序 APP客户端 而这三个系统都存在领红包功能 业务要求每人每日只能领取一个红包 如果有人同时登陆三个系统那么就
  • C语言函数返回值不能直接写数组

    C语言返回值不能直接写数组 ifndef VMATH H define VMATH H ifdef cplusplus extern C endif typedef float Matrix44f 16 Matrix44f translat
  • 分布式事务理论与实践

    一 产生背景 业务服务化拆分 原本一个服务能完成的业务操作现在需要跨多个服务 分库分表 写操作可能跨多个数据库 二 理论基础 2 1 2PC协议 角色说明 事务管理器 负责分布式事务的发起与结束 以及失败重试等 事务发起方 资源管理器 本地
  • pyenv管理python版本

    一 介绍 pyenv 是 Python 版本管理工具 pyenv 可以改变全局的 Python 版本 安装多个版本的 Python 设置目录级别的 Python 版本 还能创建和管理 virtual python environments
  • 编程语言比拼之Java VS C++

    学Java还是C Java和C 都是非常受欢迎的编程语言 各有各的优势和适用场景 以下是对它们的简要比较 性能 C 通常被认为是一种更高效的编程语言 适用于对性能要求较高的应用程序 如游戏开发 嵌入式系统和高频交易等 C 具有更接近底层的控
  • 常用串口调试工具比较

    在PC机上 目前有许多免费的串口调试工具 比较常用的有 1 串口调试助手 V2 2 优点 使用方便 用户群最多 有书介绍及免费源代码 缺点 1 因采用多线程接收技术 接收有时丢数 特别在高波特率或使用USB转串口时丢数较多 2 最大只支持1
  • java数组之二分查找法(折半查找)

    二分法就是用折半的思想 屁话不多说 上源码 public class BinarySearch 二分查找法 折半 折半查找 使用条件 必须是有序数组 需要三个变量 int min 0 int max 数组 length int mid mi
  • 使用OpenCV,Numpy计算直方图,Matplot绘制直方图及分析

    使用OpenCV Numpy计算直方图 Matplot绘制直方图及分析 1 效果图 2 原理 3 源码 3 1 直方图3种计算方法和2种绘制方法 3 2 Mask遮罩图像直方图 参考 这篇博客将介绍如何使用Python OpenCV Num
  • AVALON-MM接口

    AVALON接口分为两类 一种是AVALON MM接口 一般称为MM接口 另一种是AVALON ST接口 刚出来的一种新型接口 MM接口 通过地址读写数据 更多的是用在控制上面 ST接口用于点到点的流数据接口 更多的可以用在有高速通过率的模