传感器学习——蓝牙模块HC-05

2023-10-31

                      传感器学习——蓝牙模块HC-05

 

 

  1. 硬件连接VCC: 接电源的正极(这里需要接+5V)
  2. GND:接电源的负极
  3. RXD:接收端,蓝牙模块接收从其他设备发来的数据;正常情况接其他设备的发送端TXD
  4. TXD: 发送端,蓝牙模块发送数据给其他设备;正常情况接其他设备的发送端RXD
  5. STATE:状态端
  6. EN:使能端,需要进入AT模式时接3.3V(需要注意的是这里是按住按键进入AT模式,而直接给EN端接3.3V是进不去AT模式的,后面原理图会分析原因)

进入AT模式

        USB转TTL和蓝牙模块连接好后(VCC-VCC    GND-GND  RXD-TXD   TXD-RXD ),插上电脑

      进入AT模式的两种方法。

        方法一:先按住按键不放,再给模块上电。此时LED 2S闪一次,进入AT模式。波特率固定为38400。
        方法二:模块直接上电。此时LED灯快闪(1s两次)。再按下按键,模块也会进入AT指令,此时LED还是快闪。这个时候的波特率和自己设置的一样,默认为9600。1位停止位,无奇偶校验。
         进入AT指令模式后
         串口调试助手中一定要勾上发送新行,才会在发送字符后面添加\r\n 。不然每次就自己添加
         1.测试通信是否有问题发送: AT返回:OK
         2.设置波特率发送:AT+UART=115200,0,0   返回:OK
         3. 查询波特率:发送:AT+UART?返回:+UART=115200,0,0    OK‘’
         4.设置蓝牙的名称和密码发送:AT+NAME=xxx(默认  名称HC-05) 返回:OK  

         5. 设置密码发送:AT+PSWD=XXXXXX返回:OK
         6.AT+PSWD?查询密码

 

设置/查询——连接模式

设置/查询——连接模式

获取远程蓝牙的名称以及地址

设置/查询——绑定蓝牙地址:

要相互绑定地址

 


版权声明:本文为CSDN博主「互相学习3」的原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/weixin_46156693/article/details/104464871

https://blog.csdn.net/weixin_46156693/article/details/104464871

 

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

传感器学习——蓝牙模块HC-05 的相关文章

  • 在stm32上对于火焰模块的应用分析

    在stm32上对于火焰模块的应用分析 一 火焰模块 接线说明 供电 3 3v G 接地 GND AO模拟输入 DO数字输出 以stm32f407举例说明 AO接入 PF7 DO接入 PA4 实际上也可以不接 因为本实例没用到 二 火焰模块的
  • 地图采集车的那些事

    一 背景 高精地图 高精采集车 是做地图和出行领域同学经常挂在嘴上的一些常用词儿 但是 圈外的同学可能会问 到底什么是高精 高精是指高精度定位 高精地图是指包含丰富地理信息数据 具有高精度坐标的地图 当然 高精采集车就是采集制作高精地图数据
  • IIC知识整理以及ADS1115

    什么是IIC IIC Inter Integrated Circuit 通常发音为 I squared C 是多主 多从 分组交换 单工的串行总线 通常用于处理器或微控制器与低速外围器件的短距离通信连接 这个通信协议是由 NXP半导体的前生
  • 传感器学习——ESP8266(无线串口使用)

    ESP8266模块是一款高性能的WIFI串口模块 只要知道串口怎么编程使用 就可以实现所谓的透明传输 将usb转ttl如下连接 USB转TTL模块上有3 3V和5V两个引脚可以作为VCC 但是一般选取5V作为VCC AT指令 在使用USB转
  • STM32控制电机简易教程

    STM32控制电机简易教程 包教包会 近期 电赛临近 来补习一下电机的使用方式 使用起来非常的方便 首先是在CUBEMX里面配置一些基本内容 然后是使用PWM去调速 其他的时钟和调试配置就不多说了 然后就是初始化了 同样的 这里使用的是结构
  • ESP8266-01S系列学习笔记-01模块基本知识

    1 产品概述 ESP8266是乐鑫科技生产的一款内置WiFi功能的单片机 它有很多种型号 这些型号分别对应了不同的封装 ESP8266是一款超低功耗的UART WiFi 透传模块 拥有业内极富竞争力的封装尺寸和超低能耗技术 专为移动设备和物
  • GY906 MLX90614 非接触式 红外测温传感器 LabVIEW i2c总线数据读取

    GY906使用的红外测温芯片为MLX90614 使用LabVIEW读取i2c总线数据时 需要知道传感器的地址 出厂默认为0x5A 传感器地址支持自己修改 存放在芯片EEPROM的0x0E位置 可以通过访问EEPROM的0x0E单元来获得传感
  • 比亚迪半导体IPO再生波折:又被中止审核 红杉小米是股东

    雷递网 雷建平 4月1日报道 2022年1月底刚刚过会的比亚迪半导体上市再生波折 于2022年3月31日的审核再度被中止 这不是比亚迪半导体IPO审核第一次被深交所中止 2021年8月8日 因律师北京市天元律师事务所被中国证监会立案调查 比
  • arduino实战 2——利用arduino做一个人体传感器

    arduino是较为简单的单片机 易上手 所以利用arduino开始探索之旅吧 目录 一 材料清单 一 模块介绍 1 HC SR501 2 HC SR04 二 实物展示 1 工作流程 2 连线 二 代码 1 代码展示 2 代码的理解 三 写
  • L298N芯片驱动电机

    L298N芯片驱动电机 一 控制原理 L298N可以控制两个电机 具体原理为IN1 IN2 IN3 IN4四个输入端口接收控制器发出的电信号 两个输出端分别控制两组直流电机转动 输入端的逻辑控制表如下 GPIO GPIO 0 GPIO 1
  • 学位真的那么重要吗?上交大博士亲述科研心路,获4万高赞,网友:这是知乎最好的回答...

    点击 凹凸域 马上关注 更多内容 请置顶或星标 学位真的那么重要吗 上交大博士亲述科研心路 获4万高赞 网友 这是知乎最好的回答 十三 转载整理自 时间规划局 量子位 报道 都说读博就像一场赌博 一入红门深似海 从此半点不由人 还时不时曝出
  • 【传感器课程设计——DHT11温湿度数据上传阿里云】课程设计论文大纲

    1 摘要 摘要可以分为中文和英文两部分 2 概述 2 1 课程设计背景 2 2 国内外研究现状 2 3 报告组织形式 3 系统设计 3 1 设计目标 3 2 设计方案 3 3 设计方案分析 3 4 程序结构 4 硬件设计 4 1 ESP82
  • SHT3x-DIS驱动及应用详解(附源码和手册)

    文章目录 一 电路组成 二 通讯指令说明 一 单次获取数据指令 二 周期获取数据指令 1 配置模式 2 读取数据 三 加快响应时间指令 四 停止周期读取数据指令 五 复位 1 IIC接口复位 2 软复位 重新初始化 3 一般呼叫复位指令 4
  • HX711称重模块的使用

    HX711称重模块的使用 先贴一段文字 见多了可以跳过直接看下面 满量程输出电压 激励电压 灵敏度1 0mv v 例如 供电电压是5v 乘以灵敏度1 0mv v 满量程5mv 相当于有5Kg 重力产生时候产生5mV 的电压 2 概述 711
  • 自动驾驶汽车传感器融合系统及多传感器数据融合算法浅析

    本文转自电子技术设计 知乎小鹏汽车 作者 Hannes Estl 德州仪器 TI 汽车ADAS部门的总经理 如需转载请注明来源 原文没找到 只找到知乎小鹏汽车的一个回答https zhuanlan zhihu com p 23881606
  • 电赛猜题?我觉得没用,还不如做好这些!

    01 前言 大家好 我是张巧龙 转眼又到22年电赛 这个公众号上有很多同学可能都参加过电赛 有毕业的已经工作的 也有没毕业的今年要参加 我第一次接触电赛是在大一暑期 从参加电赛到指导学生参加电赛 转眼快十年了 20年省赛有6个省一等奖 21
  • [附代码]多输入AD模数转换,数据接受模块 Verilog 实现 (授人与渔)

    要素察觉 本文基于 AD7888 八输入通道 模数转换芯片 对转换后的数据在 FPGA 中进行接收 所使用的 HDL 为 Verilog 所有实现步骤依据 AD7888 DataSheet 中给出的相关数据和时序图 对原理和相应 Veril
  • 讲一点点自动驾驶技术(1)概论

    讲一点点自动驾驶技术 1 概论 作为一个自动驾驶小菜鸟工程师 小Q入门才两三年时间 最近空闲时间比较多 利用这个平台把自己对于无人驾驶技术所看所学的东西在这进行一个整理 一来自己看着方便 二来供大家交流学习 Xiao Xi ps 如果大家觉
  • COCOS2DX学习之Box2D物理引擎-------物体和相互作用

    1 创建一个静态物体 创建一个静态物体应该很简单 在头文件生命一下要创建新物体的函数 然后在cpp文件中实现它即可 具体的时候先过程 首先要用createbody函数创建一个物体 然后定义一个b2bodydef变量 指定一下这个变量的typ
  • ESP8266 WIFI

    手机APP esp8266 单片机之间究竟是如何连接的呢 esp8266与单片机是串口连接 esp8266仅作为网络模块使用 不需要写代码 单片机通过串口发送AT指令 esp8266执行AT指令 设置为STA模式 AP模式或混合模式 生成W

随机推荐

  • JAVA设计模式(21) —装饰(Decorator)模式

    定义 动态的给一个对象添加一些额外的职责 就增加功能来说 Decorator模式相比生成子类更为灵活 类型 对象结构型模式 别名 包装模式 Wrapper 类图 Decorator装饰模式是一种结构型模式 它主要是解决 过度地使用了继承来扩
  • Python3实现通过HTTP协议进行文件上传及下载

    要实现这个功能 你需要在主机A上编写一个Python程序 该程序监听HTTP上传请求并处理上传的文件 同时 在主机B上 你可以使用任何支持HTTP POST请求的工具 如curl或浏览器插件 上传文件 以下是一个简单的实现示例 在主机A上的
  • Python opencv学习-4在图片上用鼠标绘制矩形区域、绘制矩形框、曲线等

    程序功能鼠标按下 拖拽生成矩形框 缺陷 拖过的区域涂黑 而且不彻底 后期换写法测试 基本逻辑 鼠标按下松开 获取坐标 绘制矩形等 未看明白如何获取到的初始坐标和结束坐标 x y从哪获取的值 import numpy as np import
  • Node.js 入门 —— 中间件

    文章目录 1 前言 2 中间件的概念 2 1 什么是中间件 2 2 中间件的调用流程 2 3 Express 中间件的格式 3 express 中间件 3 1 定义中间件函数 3 2 全局生效的中间件 3 3 局部生效的中间件 3 4 中间
  • 深度学习------keras,torch对mnist,cifar2,cifar3,cifar10数据集的读取总结

    1 tensorflow读取 1 1 mnist数据集 import tensorflow as tf from tensorflow keras datasets import mnist cifar10 cifar100 train x
  • mysql与java编写人事管理系统,java+mysql企业人事管理系统的设计

    摘 要 随着历史脚步的前进 我们步入了科技高速发展的二十一世纪 互联网技术得到了空前发展 极大地提高了人们工作的效率 改善了人们的工作生活 产业信息化管理已经成为提高企业生产与管理效率的首要选择 然而 在人事管理模块 国内的很多中小型企业依
  • 错误:非法字符:“\ufeff”

    导入开源的项目的时候 你可以碰到以上的编码问题 这一般这个项目是用eclipse开发的 主要原因是 Eclipse可以自动把UTF 8 BOM文件转为普通的UTF 8文件 但Android Studio需要重新转一下 解决方法 将编码格式U
  • Java序列化

    目录 序列化机制 transient 关键字 序列化对象 反序列化对象 序列化机制 Java 提供了一种对象序列化的机制 该机制中 一个对象可以被表示为一个字节序列 该字节序列包括该对象的数据 有关对象的类型的信息和存储在对象中数据的类型
  • 重定向丢失cookie问题

    风和日丽 阳光明媚 生产bug来了 java 设定的cookie值 重定向后这个cookie获取不到 竟然奇怪的不见了 先说解决办法 通过设置domain path可以获取到这个cookie 记住 这个要考的 domain 是域 path
  • ubuntu18.04启动时在Starting Gnome Display Manager出现问题

    Ubuntu开机一直卡在 OK Started GNOME Display Manager处 进不去系统 当你进不了系统的时候你是打不开终端的 原因 磁盘空间已满或更新异常 解决 1 按alt ctrl F1 F6 输入用户名和密码 2 输
  • 人脸识别三大经典算法_[AI频道]人脸识别商业化应用开发指南

    壹点方圆 AI频道 人工智能商业化 技术 产品与应用 一 人脸识别基本原理及应用领域 二 商业化落地中的关键点 三 从0到1快速搭建人脸识别应用 一 人脸识别基本原理及应用领域 从人工智能技术演进曲线来看 人脸识别技术目前正处于爆发阶段 并
  • 西门子828d车削编程技术_干!货!来!啦!西门子数控系统车削加工编程合集...

    哈喽 艾瑞巴蒂 如昨天预告所说 今天我们一大早就送来了诚意满满的干货 小伙伴们是不是在车床加工时遇到过手拿图纸 但不知该如何下手 如何在控制器里编程 程序开头和结尾有啥注意事项 子程序怎样编写 怎么保证螺纹的切削效果 车铣复合如何编程 等等
  • 计算机英语名词简释(轉載)

    计算机英语名词简释 一 著名公司及其商标名 Microsoft 有时缩略为MS 是全球最著名的软件商 美国软件巨头微软公司的名字 Microsoft其实是由两个英语单词组成 Micro意为 微小 Soft意为 软的 此处应为 Softwar
  • Spring boot 启用 Spring Data JPA Auditing(审计功能)

    一 Auditing功能简介 先贴上Spring Data JPA的官方文档 https docs spring io spring data jpa docs 2 0 9 RELEASE reference html 项目中每条数据在创建
  • 利用C语言 找出数组中的最大值和最小值

    include
  • cpuz北桥频率和内存频率_搞清楚时序与频率,买内存条就不会入坑

    很多时候购买者都会忽视在电脑中起到不可忽视作用的CPU以及硬盘 购买内存的时候 人们更加关注的也是它的价格以及所拥有的容量 而并非性能上的命门 频率 以及 时序 很多人表示好奇 究竟何为频率 所谓内存的频率 指的是在内存工作的过程中 以兆赫
  • 统计学中常见的分布汇总及相关概念

    常见分布 统计学中有很多常见的分布 在此对这些分布进行梳理 离散型随机变量分布 1 离散型均匀分布 若随机变量有n个不同值 具有相同概率 则我们称之为离散均匀分布 通常发生在我们不确定各种情况发生的机会 且认为每个机会都相等 例如 投掷骰子
  • datalab详细解析

    本博客参考了链接https blog csdn net qq 42792383 article details 86824293 特在此鸣谢 以下为datalab的部分解析 1 bitXor x y using only and Examp
  • Centos 部署Oracle 11g

    Centos 部署Oracle 11g 部署Oracle 11g 准备工作 服务器信息 oracle安装包 服务器准备oracle环境 安装Oracle 静默方式配置监听 以静默方式建立新库及实例 部署Oracle 11g 在SpringM
  • 传感器学习——蓝牙模块HC-05

    传感器学习 蓝牙模块HC 05 硬件连接VCC 接电源的正极 这里需要接 5V GND 接电源的负极 RXD 接收端 蓝牙模块接收从其他设备发来的数据 正常情况接其他设备的发送端TXD TXD 发送端 蓝牙模块发送数据给其他设备 正常情况接