Quartus II 13.0波形仿真

2023-10-27

先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真。

之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个功能了,只能再下一个modelsim之类的仿真软件进行波形仿真。但是后来偶然看到了chaichai-icon大佬的Quartus II 13.0sp1 (64-bit)使用教程,发现不需要另下其他的软件也能够完成仿真。

于是我又查阅了一些网络上的资料,基本说法是Quartus II从9.0开始就取消了自带的仿真工具,转而采用第三方仿真工具。个人安装的Quartus II 13.0 确实没有自带的仿真工具,但是有提供Modelsim_Altera安装包,一直在用的就是该第三方工具。即在quartus组件中默认安装了一个可以进行仿真的工具

接下来就参照chaichai-icon的文章 ,再来介绍一下用 13.0版本进行波形仿真的使用教程。

开发环境

win10 + Quartus II 13.0sp1 (64-bit) + 器件库(Cyclone, Cyclone II, Cyclone III, Cyclone IV device support ),使用Verilog HDL语言进行代码设计。

设计流程

1. 新建工程
2. 写代码
3.波形仿真(会Quartus II的可以直接跳仿真)

由于我们实验要求到有仿真图这一步就行了,所以后面的引脚分配、下载配置到硬件等步骤在这里就不说了。

新建工程

File->New Project Wizard
在这里插入图片描述
下图
第一行:工作目录(不能出现汉字和空格)
第二行:工程名称(不能出现汉字和空格)
第三行:顶层设计实体名(默认为工程名称)
Next
在这里插入图片描述
下图,添加已有的源代码文件,没有再点Next
在这里插入图片描述
选择你需要使用的FPGA芯片,由于Quartus Ⅱ自带的仿真软件只支持CycloneⅠ- Ⅳ device families,之前我选了个Cyclone Ⅳ GX,结果进行仿真的时候失败了。
在这里插入图片描述
在这里我们随便选了个Cyclone Ⅱ的芯片进行测试。
Next
在这里插入图片描述
下图,选择第三方分析工具.。此处如果全部选择None,表示用QuartusⅡ自带的工具。在这里我们默认None。
Next
在这里插入图片描述
下图是信息总览,确认无误后点Finish。
在这里插入图片描述

代码编译

接下来我们就开始进行代码编译过程,在这里选择了一个简单的半加器。
File->New->Verilog HDL File,然后点OK
在这里插入图片描述
将代码写进新建的文件中,然后保存,注意保存的文件名要与module后面定义的实体名一致,在这里,我们保存的就是test.v。
在这里插入图片描述
将该文件设为顶层文件。
导航窗口Project Navigator下边→点Files ,右击文件test.v 设为顶层实体Set as Top-Level Entity 。
在这里插入图片描述
开始编译,Processing->Start Compilation,或者直接点工具栏中开始编译的图标。
在这里插入图片描述
出现下图所示,即编译成功。
在这里插入图片描述

波形仿真

使用QuartusⅡ进行波形仿真需要创建一个VWF波形文件,File->New->University Program VWF。
在这里插入图片描述
在图中红框圈出地方的空白处单击鼠标右键,然后选 Insert Node or Bus。
在这里插入图片描述
点Node Finder
在这里插入图片描述
先点List,再点 >> 。之后点OK,OK。
在这里插入图片描述
由于这里的VMF文件默认使用的仿真软件是ModelSim,因此需要更改一下设置,Simulation -> Options -> Quartus ii simulator,勾选后面然后这个点OK。
在这里插入图片描述
然后就是设置你的in输入信号 a,b,我们可以直接使用工具栏的图标进行调试。首先对a进行设置,在这里我使用的是随机信号Random Values。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
同理设置b,在这里我们也可以选用时钟信号Overwrite Clock。
在这里插入图片描述
在这里插入图片描述
可以用Ctrl+鼠标滑轮进行放大缩小
在这里插入图片描述
输入信号设置完成后,就可以进行功能仿真了。
Simulation->Run Functional Simulation,或者直接点击图标。
在这里插入图片描述
在这里插入图片描述
然后生成一个只读文件(Read-Only), 这个就是所需要的仿真波形图。
在这里插入图片描述

生成RTL图

回到主界面,在左下方找到Compile Design->Analysis&Synthesis->Netlist Viewers->RTL Viewer,双击。
在这里插入图片描述
RTL图
在这里插入图片描述

本文内容由网友自发贡献,版权归原作者所有,本站不承担相应法律责任。如您发现有涉嫌抄袭侵权的内容,请联系:hwhale#tublm.com(使用前将#替换为@)

Quartus II 13.0波形仿真 的相关文章

  • 计算机组成原理---总线带宽的计算

    end
  • 计算机组成原理实验四 微程序控制器实验报告

    我班算是几乎最后一个做实验的班级了 报告参考了一些朋友提供的数据加上一些自己的主观拙见 本人水平有限加之制作仓促难免有错误 望大家批评指正 4 1 微程序控制器实验 一 实验目的 1 掌握微程序控制器的组成原理 2 掌握微程序的编制 写入
  • 编译程序和解释程序有什么区别?

    1 编译程序和解释程序的区别 编译型是使用编译器编译后生成计算机硬件可直接执行的指令 解释型是在运行时才由解释器逐语句去执行 编译型代表 C C C Java 解释型代表 html javascript 区别有很多 说说常见的几个 编译型语
  • 计算机组成原理——第六章测试题(上)

    1单选 1分 计算机中的数据可以存放在 C 中 A 寄存器 B 主存 C 都可以 D 硬盘 2单选 1分 下列对源码 补码和反码叙述正确的是 B A 当真值为正时 原码和补码的表示形式不同 但其符号位都用 0 表示 B 三种机器数的最高位均
  • 【计算机组成原理笔记】1.2计算机的基本组成

    1 2计算机的基本组成 冯 诺依曼计算机 冯诺依曼计算机的六个特点 冯 诺依曼计算机硬件框图 各部分功能 缺点 计算机硬件框图 以存储器为中心的计算机硬件框图 现代计算机硬件框图 系统复杂性管理方法 计算机的工作步骤 指令格式举例 存储器的
  • 面试---计算机基础

    1 C C 内存有哪几种类型 C中 内存分为5个区 堆 malloc 栈 如局部变量 函数参数 程序代码区 存放二进制代码 全局 静态存储区 全局变量 static变量 和常量存储区 常量 此外 C 中有自由存储区 new 一说 全局变量
  • 计算机组成原理期末总结

    文章目录 写在前面 1 计算机系统概论 知识点 习题 2 运算方法和运算器 知识点 习题 3 多层次的存储器 知识点 习题 4 指令系统 知识点 习题 5 中央处理器 知识点 习题 6 总线系统 知识点 习题 7 外存与IO设备 知识点 习
  • 各个硬件的工作原理

    前情回顾 主存储器的基本组成 存储体 用于存放数据的东西 由一系列的存储元件构成 可以存放二进制的 0 和 1 运算器的基本组成 控制器的基本组成 计算机的工作过程 案例分析 执行指令0 执行指令1 执行指令2 执行指令3 执行指令4 总结
  • 存储器、运算器、控制器基本结构以及一条指令的执行

    Abstract 此篇文章讲述的是计算机存储器 控制器 运算器的基本结构组成以及指令的具体执行顺序 CPU包括运算器和控制器 而主机主要包括CPU和存储器 内容参考于 哈工大计算机组成原理 刘宏伟 存储器的基本组成 如下图所示 主存由存储体
  • 动态链接,程序内部的共享单车

    前言 在之前说过 程序的编译过程是 编译 汇编 链接 前面的两种方式我们已经充分的理解过了 现在我们来说说我们的链接过程 我们很多时候要做到代码的复用 我们就需要链接不同的库 这么来说 我们链接的过程就有点像生活中标准化 模块化的生产 我们
  • [计算机组成原理] 以低字节地址为字地址

    以低字节地址为字地址 就是小端存储模式 数据低位 或者说低字节 存储在内存低地址 以高字节地址为字地址 就是大端存储模式 数据低位 或者说高字节 存储在内存高地址 现在看一个例题 这个题目有一个需要明确的地方 什么是第一 第二 第三字节 对
  • 同步通讯和异步通讯(简单理解)

    同步通信和异步通信 简单理解 注 本篇文章只是告诉你什么是同步通信 什么是异步通信 即使没有计算机基础的同学也适合阅读 同时也能帮助计算机专业同学更好理解这个知识点 但是如果想深入学习 还需自己翻阅资料 一 电脑完成一个读命令需要的步骤 主
  • 计算机组成原理 总线与微命令实验

    总线与微命令实验 实验环境 计算机组成原理实验环境 实验目的 理解总线的概念和作用 连接运算器与存储器 熟悉计算机的数据通路 理解微命令与微操作的概念 实验要求 做好实验预习 读懂实验电路图 熟悉实验元器件的功能特性和使用方法 按照实验内容
  • 二进制编码

    前言 我们都知道 一个程序是 数据结构 算法 如果对应到组成原理或者是硬件层面上来说 算法就是我们的各种计算机指令 而数据结构就是我们对应的二进制数据 字符串的表示 从编码到数字 其实不仅数字可以用字符串来表示 最典型的例子就是字符串 最早
  • 浮点数

    为什么要有浮点数 从十进制科学计数法理解浮点数 计算机中二进制的浮点数 二进制中阶码的底也可以不为2 例如 二进制中阶码的底可以为4 为8等等 当阶码的底为2 假设阶码为 1 则小数点需要后移一位 即算数左移一位 当阶码的底为4时 假设阶码
  • CPU时钟周期、主频、CPI、MIPS

    主频 理解 主频是机器内部主时钟的频率 主频越高 完成指令的一个执行步骤所用的时间就越短 速度越快 比如跳绳 跳的越快 即频率越高 那么完成一次所用的时间就越短 单位 Hz 常见的有1 8GHz 2 4GHz CPU时钟周期 理解 跟上面的
  • 计算机组成原理期末复习【超实用】

    计算机组成原理 第二版 唐朔飞 编著 课本有些地方还不错 可以下载电子版看看 b站2小时讲解链接 https www bilibili com video BV1x4411q7Fz 初次录讲解视频 各种差错和画音不同步请各位谅解 我录到后面
  • 第一章 计算机系统概论

    一 计算机系统简介 1 计算机软硬件概念 计算机是一种能够执行指令的电子设备 它由硬件和软件两部分组成 计算机硬件是指计算机系统中的物理组件 包括中央处理器 CPU 内存 硬盘 输入设备 如键盘 鼠标 输出设备 如显示器 打印机 等 这些硬
  • 【408】计算机学科专业基础 - 计算机组成原理

    一 计算机系统概述 复习提示 本章是组成原理的概述 考查时易针对有关概念或性能指标出选择题 也可能综合后续章节的内容出有关性能分析的综合题 掌握本章的基本概念 是学好后续章节的基础 部分知识点在初学时理解不深刻也无须担忧 相信随着后续章节的
  • 计算机组成原理综合1

    1 完整的 计算机系统 应包括 D A 运算器 存储器和控制器 B 外部设备和主机 C 主机和实用程序 D 配套的硬件设备和软件系统 2 计算机系统中的存储器系统是指 D A RAM存储器 B ROM存储器 C 主存储器 D 主存储器和外存

随机推荐

  • tree树做过滤

    代码如下 递归tree关键词搜索 param key 需要递归的key名 param keyword 需要搜索查询的关键字 param treeList 遍历tree列表 param first 是否是首次传入 默认true functio
  • Thymeleaf (select、checkbox)数据绑定和数据回回显

    第一种情况 select 数据绑定 前端页面 div class col sm 10 div
  • 放大电路中的自激震荡及相位补偿方法

    自激震荡 产生原因 1 电容对信号的超前和滞后作用 要分析自激震荡 首先得了解电路中的相移 放大电路中使信号发生相移的罪魁祸首主要在于电容 如果电容串接在电路中 则构成高通滤波电路 在截止频率之前相位超前90 2 之后相移为0 如图1所示
  • 2023年数学建模:种群竞争模型及其MATLAB实现

    订阅专栏后9月比赛期间会分享思路及Matlab代码 目录 种群竞争模型 MATLAB实现 实战案例 两种昆虫的竞争
  • Typora常用快捷键(详细)

    1 typora常用快捷键 ctrl 切换编辑语法模式 预览模式 ctrl 1 6 标题1 6 等同于 一级标题 空格 标题内容 二级标题 空格 标题内容 三级标题 空格 标题内容 最多六级标题 ctrl B 粗体 ctrl I 斜体 ct
  • Unity编译Android的原理解析和apk打包分析

    作者 张坤 最近由于想在Scene的脚本组件中 调用Android的Activity的相关接口 就需要弄明白Scene和Activity的实际对应关系 并对Unity调用Android的部分原理进行了研究 本文主要探讨Scene和Activ
  • [机器学习] 代价函数(cost function)

    前言 代价函数也叫做损失函数 loss function 机器学习中训练模型的过程就是优化代价函数的过程 代价函数对每个参数的偏导数就是梯度下降中的梯度 1 代价函数的定义 假设有训练样本 x y 模型为f 参数为w f w wTx w T
  • Android项目构建之build.gradle配置与打包

    一 build gradle配置 Google推荐使用的Android Studio是采用Gradle来构建项目的 Gradle是用了一种基于Groovy的领域特定语言 DSL Domain Specific Language 来声明项目设
  • 数据库系统实现课程设计:图书管理系统

    图书管理系统 项目简介 开发环境 数据层 控制层 表示层 项目简介 围绕中小学 注 无图书馆 图书管理需求 如采购入库 图书编码 借书还书 图书出库 设计合理的系统功能模块和数据库结构 构建一个较为实用的图书管理系统 任务要求 按照软件工程
  • Electron-vue环境配置,打包报错问题解决

    Electron vue 项目构建过程 1 搭建Electron vue框架 参照网址 https electron org cn 2 执行npm install npm 在国内比较慢 所以采用淘宝镜像代理 执行以下命令 安装cnpm np
  • Android初级到高级视频教程全套 百度网盘下载

    百度网盘视频资源下载 https pan baidu com s 1MVYKj4L8 1nU7Er3z5UGRA https pan baidu com s 1ewu5bDpuggEu9y KCZC bg 入门级 中级 高级 进阶级 更多资
  • shell脚本——正则表达式,grep,awk

    目录 正则表达式 1 元字符 字符匹配 2 表示次数 3 位置锚定 4 分组或其他 grep awk的用法 1 基础用法 2 awk常见的内置变量 FS 指定输出的每行文本的字段分隔符 OFS 输出时的分隔符 NF 当前处理的行的字段个数
  • chromeOS介绍

    目录 概述 支持程序 功能 概述 chromeOS 是由Google设计基于Linux核心的作业系统 并使用Google Chrome浏览器作为其主要使用者介面 因此 Chrome OS主要支持Web应用程式 2016年起开始陆续相容And
  • 每日一题系列:不要二

    二货小易现有一个W H的网格盒子 网格的行编号为0 H 1 网格的列编号为0 W 1 每个格子可放1块蛋糕 任意两块蛋糕的欧几里得距离不能等于2 对于两个格子坐标 x1 y1 x2 y2 的欧几里得距离为 x1 x2 x1 x2 y1 y2
  • ModuleNotFoundError: No module named ‘scipy.misc.pilutil‘

    python 3 8 pillow 6 0 0 scipy 1 7 3 报错后 有老哥说 pip scipy 1 1 0 原理是scipy中没有imread了 原语句 from scipy misc pilutil import imrea
  • [人工智能-深度学习-41]:开发环境 - GPU进行训练安装与搭建(Pytroch、TensorFlow、Nvidia CUDA)详细过程

    作者主页 文火冰糖的硅基工坊 文火冰糖 王文兵 的博客 文火冰糖的硅基工坊 CSDN博客 本文网址 https blog csdn net HiWangWenBing article details 121241620 目录 第1章 英伟达
  • wireshark抓包筛选固定流并转发

    wireshark抓包筛选固定流并转发 1 筛选固定ip或端口 2 复制数据 在弹框中选择原始数据 全选数据并粘贴保存成txt 3 发送数据 打开网络调试助手 点击发送文件 选择保存的文件并发送 即可实现数据转发
  • Windows 10中的Docker实践

    题记 微软在人们的心目中就是商业化的代名词 操作系统 Office 数据库 Azure等可能是我们耳熟能详的 当然微软也在布局更多的技术王国 开源界对微软一直嗤之以鼻 指责其闭源行为影响技术的发展 不过微软现在也在积极改变以往的傲慢态度 慢
  • 若依系统基于nacos注册中心搭建

    目录 nacos问题 项目内部问题 MySQL的问题 redis的问题 操作流程https blog csdn net maitian 2008 article details 118579638 ops request misc 257B
  • Quartus II 13.0波形仿真

    先放结论 Quartus II 13 0有自带的仿真工具 能实现波形仿真 之前一直找不到关于Quartus II 13 0的波形仿真 然后百度的都是说quartus ii 9 0之后的版本就没有这个功能了 只能再下一个modelsim之类的